基于單片機的可調(diào)直流穩(wěn)壓電源設(shè)計_第1頁
基于單片機的可調(diào)直流穩(wěn)壓電源設(shè)計_第2頁
基于單片機的可調(diào)直流穩(wěn)壓電源設(shè)計_第3頁
基于單片機的可調(diào)直流穩(wěn)壓電源設(shè)計_第4頁
基于單片機的可調(diào)直流穩(wěn)壓電源設(shè)計_第5頁
已閱讀5頁,還剩34頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、精選優(yōu)質(zhì)文檔-傾情為你奉上機電綜合應(yīng)用題 目: 基于單片機的直流可調(diào)電源的設(shè)計 系部名稱: 信息* 專業(yè)班級: 電 氣083班 學(xué)生姓名: * * 學(xué) 號: 7 指導(dǎo)教師: * * * 教師職稱: 講 師 2011年 12月 26日專心-專注-專業(yè)摘要隨著電子技術(shù)的迅速發(fā)展, 直流電源應(yīng)用非常廣泛, 其好壞直接影響著電氣設(shè)備或控制系統(tǒng)的工作性能, 目前, 市場上各直流電源的基本環(huán)節(jié)大致相同,主要都包括交流電源、交流變壓器(有時可以不用)、整流電路、濾波穩(wěn)壓電路等。因此對穩(wěn)壓直流電源的工作原理的理解非常必要。本文運用了將單片機控制系統(tǒng)應(yīng)用于開關(guān)穩(wěn)壓電源的方法和原理,提出的開關(guān)電源可調(diào)穩(wěn)壓輸出的觀

2、點。認為可以實現(xiàn)開關(guān)電源的數(shù)控調(diào)節(jié),并通過分析穩(wěn)壓工作原理、電壓調(diào)節(jié)方法、AD芯片和單片機的工作原理,使輸出電壓下實現(xiàn)了01 15V步進調(diào)節(jié)。最終得出了直流開關(guān)電源在單片機的控制下能夠輸出穩(wěn)定的、可調(diào)的直流電壓的結(jié)論。該可調(diào)穩(wěn)壓電源不僅具有開關(guān)電源體積小,損耗低的優(yōu)點,還具有線性電源輸出電壓紋波小,輸出特性好的優(yōu)點。并且引入單片機控制,使其在功能上具有一定智能化,能夠滿足一般低限度場合的供電需要。具有一定的的研究意義及實用價值。關(guān)鍵字:穩(wěn)壓電源,單片機,AD芯片Adjustable DC Regulated Switching Power Supply Base On Single-chip

3、MicrocomputerAbstractWith the rapid development of electronic technology, the DC power supply is widely used, its quality directly affects the electrical device or control the working performance of the system, at present, the market of the direct current power supply the basic link of roughly the s

4、ame, mainly includes the AC power, the AC transformer ( sometimes can not), a rectification circuit, a filtering voltage stabilizing circuit. So the regulated DC power supply, the working principle of the understanding is necessary.This paper discusses the application of the single chip microcompute

5、r control system applied in switching power supply method and principle, put forward switching power supply adjustable voltage regulator output point of view. That can realize switching power supply control regulation, and through the analysis of the working principle of voltage, voltage regulation

6、method, A / D chip and the principle, so that the output voltage to achieve 0.1 15V step regulator. Finally draw the conclusion that the DC switching power supply under the control of single-chip microcomputer can output stable, adjustable DC voltage to the conclusion.The adjustable regulated power

7、supply not only has the switching power supply has the advantages of small volume, low loss, also has the advantages of small output ripple voltage of linear power supply, output characteristics of good advantages. And the introduction of SCM control, its function has certain intelligence, can satis

8、fy the general low limit the power needs of occasions. Has certain research value and practical significance.Keywords: Regulated Power Supply SCM A D Chip目錄1 引言隨著電力電子技術(shù)的迅速發(fā)展, 直流電源應(yīng)用非常廣泛, 其好壞直接影響著電氣設(shè)備或控制系統(tǒng)的工作性能。直流穩(wěn)壓電源是電子技術(shù)常用的設(shè)備之一,廣泛的應(yīng)用于教學(xué)、科研等領(lǐng)域。傳統(tǒng)的多功能直流穩(wěn)壓電源功能簡單、難控制、可靠性低、干擾大、精度低且體積大、復(fù)雜度高。而基于單片機控制的直流穩(wěn)壓

9、電源能較好地解決以上傳統(tǒng)穩(wěn)壓電源的不足。直流穩(wěn)壓電源已廣泛地應(yīng)用于許多工業(yè)領(lǐng)域中。在工業(yè)生產(chǎn)中(如電焊、電鍍或直流電機的調(diào)速等),需要用到大量的電壓可調(diào)的直流電源,他們一般都要求有可以方便的調(diào)節(jié)電壓輸出的直流供電電源。目前,由于開關(guān)電源效率高,小型化等優(yōu)點,傳統(tǒng)的線性穩(wěn)壓電源、晶閘管穩(wěn)壓電源逐步被直流開關(guān)穩(wěn)壓電源所取代。開關(guān)電源主要的控制方式是采用脈寬調(diào)制集成電路輸出PWM 脈沖,采用模擬PID調(diào)節(jié)器進行脈寬調(diào)制,這種控制方式,存在一定的誤差,而且電路比較復(fù)雜。本文設(shè)計了一種以高性能單片機為控制核心的輸出電壓大范圍連續(xù)可調(diào)的功率開關(guān)電源,由單片機直接產(chǎn)生PWM 波,對開關(guān)電源的主電路執(zhí)行數(shù)字控

10、制,電路簡單,功能強大。因此本次課程設(shè)計安排對學(xué)生的專業(yè)知識的學(xué)習(xí)有很大的意義。課程設(shè)計應(yīng)強調(diào)能力培養(yǎng)為主,在獨立完成設(shè)計任務(wù)的同時,還要注意其他幾方面能力的培養(yǎng)與提高,如獨立工作能力與創(chuàng)造力;綜合運用專業(yè)及基礎(chǔ)知識的能力,解決實際工程技術(shù)問題的能力;查閱圖書資料、產(chǎn)品手冊和各種工具書的能力;工程繪圖的能力;書寫技術(shù)報告和編制技術(shù)資料的能力。在專業(yè)知識與研究方法方面為日后的畢業(yè)設(shè)計乃至畢業(yè)后的工作奠定良好的基礎(chǔ)。 本次課設(shè)主要適用于自動化、電氣工程及其自動化、測控與儀器等專業(yè),要求學(xué)生們具備數(shù)字電路、模擬電路、電路基礎(chǔ)、自動控制、電力電子、 C語言、電氣控制相關(guān)課程的知識,并具備一些基本的實踐

11、操作水平,為以后的就業(yè)打好一定的基礎(chǔ)。2 設(shè)計任務(wù)及要求2.1 設(shè)計目的1.學(xué)習(xí)基本理論在實踐中綜合運用的初步經(jīng)驗,掌握模擬電路結(jié)合單片機設(shè)計電路的基本方法、設(shè)計步驟,培養(yǎng)綜合設(shè)計與調(diào)試能力。2. 學(xué)會直流穩(wěn)壓電源的設(shè)計方法和性能指標(biāo)測試方法,鞏固單片機的學(xué)習(xí)應(yīng)用。3. 培養(yǎng)實踐技能,提高分析和解決實際問題的能力。2.2 設(shè)計內(nèi)容設(shè)計數(shù)顯式直流穩(wěn)壓電壓源,要求完成以下主要技術(shù)指標(biāo):1. 當(dāng)輸入交流電壓為220v±10%時,輸出電壓在013V連續(xù)可調(diào);2. 額定電流為1A,且紋波不大于1mV;3. 使用按鍵設(shè)置電壓,同時具有常用電平快速切換功能(3V、5V、6V、9V、12V),設(shè)定后

12、按鍵可以鎖定,防止誤觸動;4. 顯示設(shè)定電壓和測量電壓,顯示精度為0.01V,顯示方式LCD液晶顯示;擴展要求:兩級過流保護功能:當(dāng)電流超過額定值的20%達到5秒時,電路做斷電操作;當(dāng)電流超過額定值的50%時,電路立即斷開,并帶有報警提示;掉電以后可記憶上一次的設(shè)定值2.3 設(shè)計步驟1. 查閱有關(guān)資料,完成總體設(shè)計框圖2. 完成設(shè)計框圖各個部分的詳細設(shè)計,并選擇合適參數(shù)的電子元器件完成各部分電路,繪制電路原理圖。統(tǒng)計所有元器件的參數(shù)和數(shù)量,購買元器件。3. 將元器件依照電路原理圖焊接至電路板上,完成電源的實物制作。4. 調(diào)試電路,根據(jù)需要調(diào)節(jié)元件參數(shù),必要時,替換個別元件。5. 完成設(shè)計報告。

13、3 電源系統(tǒng)硬件介紹3.1 電源的介紹隨著電子技術(shù)的發(fā)展,人們對如何提高電源的轉(zhuǎn)換效率,增強對電網(wǎng)的適應(yīng)性,縮小體積,減輕重量進入了深入的研究。開關(guān)電源應(yīng)運而生。七十年代,便應(yīng)用于電視機的接收,現(xiàn)在已經(jīng)廣泛用于彩電,錄像機,計算機,通訊設(shè)備,醫(yī)療器械,氣象等行業(yè)。其中電源是電子設(shè)備的心臟部分,其質(zhì)量的好壞直接影響著電子設(shè)備的可靠性與穩(wěn)定性,而且電子設(shè)備的故障60%來自電源,因此作為電子設(shè)備的基礎(chǔ)元件,電源受到越來越多的重視?,F(xiàn)代電子設(shè)備使用的電源大致有線性穩(wěn)壓電源和開關(guān)穩(wěn)壓電源兩大類1。所謂線性穩(wěn)壓電源,是指在穩(wěn)壓電源電路中的調(diào)整管是工作在線性放大區(qū)。將220V、50Hz的工頻電壓經(jīng)過線性變壓

14、器降壓以后,經(jīng)過整流、濾波和穩(wěn)壓,輸出一個直流電壓。線性穩(wěn)壓源的優(yōu)點是:電源穩(wěn)定度及負載穩(wěn)定度較高;輸出紋波電壓??;瞬態(tài)響應(yīng)速度快;線路結(jié)構(gòu)簡單,便于維修;沒有開關(guān)干擾。缺點是:功耗大、效率低,其效率一般只有3560%;體積大、質(zhì)量重、不能微小型化;必須有較大容量的濾波電容。其中,交換效率低下是線性穩(wěn)壓電源的重要缺點,造成了資源的嚴重浪費。在這種背景下,開關(guān)穩(wěn)壓電源應(yīng)運而生。任何電子設(shè)備均需直流電源來供給電路工作。特別是采用電網(wǎng)供電的電子產(chǎn)品。為了適應(yīng)電網(wǎng)電壓波動和電路的工作狀態(tài)變化,更需要具備適應(yīng)這種變化的直流穩(wěn)壓電源。穩(wěn)壓電源的調(diào)整管工作在開關(guān)狀態(tài),主要優(yōu)越性是交換效率可高達7095%。開

15、關(guān)穩(wěn)壓電源的優(yōu)越性還體現(xiàn)在:功耗小、效率高。晶體管在激勵信號的激勵下,交替的工作在導(dǎo)通-截止的開關(guān)狀態(tài),轉(zhuǎn)換速度很快,頻率一般為50kHz左右。開關(guān)晶體管的功耗很小,電源的效率可以大幅度的提高,達到80%以上。體積小、重量輕。開關(guān)穩(wěn)壓電源里沒有采用笨重的工頻變壓器2。調(diào)整管上的耗散功率大幅度降低以后,省去了較大的散熱片。而且穩(wěn)壓范圍寬。開關(guān)電源的輸出電壓是由激勵信號的占空比來調(diào)節(jié)的,輸入信號電壓的變化可以通過調(diào)頻或調(diào)寬來控制,在工頻電網(wǎng)電壓變化較大時,它仍能保證有效的穩(wěn)定輸出電壓。開關(guān)穩(wěn)壓電源實現(xiàn)穩(wěn)壓的方法也較多,可以根據(jù)實際應(yīng)用的要求,靈活的選用各種類型的開關(guān)穩(wěn)壓電源。電路形式靈活多樣。穩(wěn)壓

16、電源的主要問題是電路比較復(fù)雜。輸出紋波電壓較高,瞬態(tài)響應(yīng)差,并且存在較為嚴重的開關(guān)干擾。當(dāng)今,開關(guān)穩(wěn)壓電源的進一步推廣應(yīng)用的困難是它的制作技術(shù)難度大,維修麻煩和成本較高。穩(wěn)壓電源的效率是與開關(guān)管的變換速度成正比的。開關(guān)穩(wěn)壓電源中采用了開關(guān)變壓器,使之由一組輸入,得到極性,大小各不相同的多組輸出。要進一步提高效率,必須提高電源的工作頻率。但是,當(dāng)頻率提高以后,對整個電路元器件的要求,有了進一步的提高。這是需要解決的第二個問題。工作在線性狀態(tài)的穩(wěn)壓電源,具有穩(wěn)壓和濾波的雙重作用,因而串聯(lián)線性穩(wěn)壓電源不產(chǎn)生開關(guān)干擾,且紋波電壓輸出較小。但是,在開關(guān)穩(wěn)壓電源中的開關(guān)管工作在開關(guān)狀態(tài),其交變電壓和輸出電

17、流會通過電路中的元器件產(chǎn)生較強的尖峰干擾和諧振干擾。這些干擾會進入市電電網(wǎng),影響鄰近的電子設(shè)備的正常工作??朔@一缺點,進一步提高它的使用范圍,是要解決的第三個問題。3.2 AT89S52單片機AT89S52單片機為ATMEL 所生產(chǎn)的一種低功耗、高性能CMOS8位微控制器,具有8K在系統(tǒng)可編程Flsah存儲器3。3.2.1 AT89S52的功能介紹1、擁有靈巧的8位CPU和在系統(tǒng)可編程Flash。2、晶片內(nèi)部具時鐘振蕩器(傳統(tǒng)最高工作頻率可至 12MHz)。3、內(nèi)部程序存儲器(ROM)為 8KB。4、內(nèi)部數(shù)據(jù)存儲器(RAM)為 256字節(jié)。5、32 個可編程I/O 口線。6、8 個中斷向量源

18、。7、三個 16 位定時器/計數(shù)器。8、三級加密程序存儲器。9、全雙工UART串行通道。3.2.2 AT89S52的個引腳功能圖1 at89s52單片機引腳圖VCC:AT89S52電源正端輸入,接+5V。VSS:電源地端。XTAL1:單芯片系統(tǒng)時鐘的反相放大器輸入端。XTAL2:系統(tǒng)時鐘的反相放大器輸出端,一般在設(shè)計上只要在 XTAL1 和 XTAL2 上接上一只石英振蕩晶體系統(tǒng)就可以動作了,此外可以在兩引腳與地之間加入一 20PF 的小電容,可以使系統(tǒng)更穩(wěn)定,避免噪聲干擾而死機。RESET:AT89S52的重置引腳,高電平動作,當(dāng)要對晶片重置時,只要對此引腳電平提升至高電平并保持兩個機器周期

19、以上的時間,AT89S51便能完成系統(tǒng)重置的各項動作,使得內(nèi)部特殊功能寄存器之內(nèi)容均被設(shè)成已知狀態(tài),并且至地址0000H處開始讀入程序代碼而執(zhí)行程序。EA/Vpp:"EA"為英文"External Access"的縮寫,表示存取外部程序代碼之意,低電平動作,也就是說當(dāng)此引腳接低電平后,系統(tǒng)會取用外部的程序代碼(存于外部EPROM中)來執(zhí)行程序。因此在8031及8032中,EA引腳必須接低電平,因為其內(nèi)部無程序存儲器空間。如果是使用 8751 內(nèi)部程序空間時,此引腳要接成高電平。此外,在將程序代碼燒錄至8751內(nèi)部EPROM時,可以利用此引腳來輸入21V的

20、燒錄高壓(Vpp)。ALE/PROG:ALE是英文"Address Latch Enable"的縮寫,表示地址鎖存器啟用信號。AT89S52可以利用這支引腳來觸發(fā)外部的8位鎖存器(如74LS373),將端口0的地址總線(A0A7)鎖進鎖存器中,因為AT89S52是以多工的方式送出地址及數(shù)據(jù)。平時在程序執(zhí)行時ALE引腳的輸出頻率約是系統(tǒng)工作頻率的1/6,因此可以用來驅(qū)動其他周邊晶片的時基輸入。此外在燒錄8751程序代碼時,此引腳會被當(dāng)成程序規(guī)劃的特殊功能來使用。PSEN:此為"Program Store Enable"的縮寫,其意為程序儲存啟用,當(dāng)8051

21、被設(shè)成為讀取外部程序代碼工作模式時(EA=0),會送出此信號以便取得程序代碼,通常這支腳是接到EPROM的OE腳。AT89S52可以利用PSEN及RD引腳分別啟用存在外部的RAM與EPROM,使得數(shù)據(jù)存儲器與程序存儲器可以合并在一起而共用64K的定址范圍。PORT0(P0.0P0.7):端口0是一個8位寬的開路汲極(Open Drain)雙向輸出入端口,共有8個位,P0.0表示位0,P0.1表示位1,依此類推。其他三個I/O端口(P1、P2、P3)則不具有此電路組態(tài),而是內(nèi)部有一提升電路,P0在當(dāng)做I/O用時可以推動8個LS的TTL負載。如果當(dāng)EA引腳為低電平時(即取用外部程序代碼或數(shù)據(jù)存儲器

22、),P0就以多工方式提供地址總線(A0A7)及數(shù)據(jù)總線(D0D7)。設(shè)計者必須外加一鎖存器將端口0送出的地址栓鎖住成為A0A7,再配合端口2所送出的A8A15合成一完整的16位地址總線,而定址到64K的外部存儲器空間。PORT2(P2.0P2.7):端口2是具有內(nèi)部提升電路的雙向I/O端口,每一個引腳可以推動4個LS的TTL負載,若將端口2的輸出設(shè)為高電平時,此端口便能當(dāng)成輸入端口來使用。P2除了當(dāng)做一般I/O端口使用外,若是在AT89S52擴充外接程序存儲器或數(shù)據(jù)存儲器時,也提供地址總線的高字節(jié)A8A15,這個時候P2便不能當(dāng)做I/O來使用了。PORT1(P1.0P1.7):端口1也是具有內(nèi)

23、部提升電路的雙向I/O端口,其輸出緩沖器可以推動4個LS TTL負載,同樣地若將端口1的輸出設(shè)為高電平,便是由此端口來輸入數(shù)據(jù)。如果是使用8052或是8032的話,P1.0又當(dāng)做定時器2的外部脈沖輸入腳,而P1.1可以有T2EX功能,可以做外部中斷輸入的觸發(fā)腳位。PORT3(P3.0P3.7):端口3也具有內(nèi)部提升電路的雙向I/O端口,其輸出緩沖器可以推動4個TTL負載,同時還多工具有其他的額外特殊功能,包括串行通信、外部中斷控制、計時計數(shù)控制及外部數(shù)據(jù)存儲器內(nèi)容的讀取或?qū)懭肟刂频裙δ堋F湟_分配如下:P3.0:RXD,串行通信輸入。P3.1:TXD,串行通信輸出。P3.2:INT0,外部中斷

24、0輸入。P3.3:INT1,外部中斷1輸入。P3.4:T0,計時計數(shù)器0輸入。P3.5:T1,計時計數(shù)器1輸入。P3.6:WR:外部數(shù)據(jù)存儲器的寫入信號。P3.7:RD,外部數(shù)據(jù)存儲器的讀取信號。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,要保持RST腳兩個機器周期的高電平時間。ALE/PROG:當(dāng)訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時,ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出的脈沖或用于定時目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過一個ALE脈沖。如想禁止AL

25、E的輸出可在SFR8EH地址上置0。此時, ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。EA/VPP:當(dāng)/EA保持低電平時,則在此期間外部程序存儲器(0000H-FFFFH),不管是否有內(nèi)部程序存儲器。注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時,此間內(nèi)部程序存儲器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。

26、XTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。3.3 數(shù)碼管顯示本次設(shè)計顯示設(shè)備采用四位數(shù)碼管來顯示輸出電壓。3.3.1 數(shù)碼管結(jié)構(gòu)及原理下圖為典型的數(shù)碼管:圖2 7段LED數(shù)碼管如上圖,LED顯示器又稱為數(shù)碼管,LED顯示器由8個發(fā)光二極管組成。中7個長條形的發(fā)光管排列成“日”字形,另一個賀點形的發(fā)光管在顯示器的右下角作為顯示小數(shù)點用,它能顯示各種數(shù)字及部份英文字母。LEDD顯示器有兩種不同的形式:一種是8個發(fā)光二極管的陽極都連在一起的,稱之為共陽極LED顯示器;另一種是8個發(fā)光二極管的陰極都連在一起的,稱之為共陰極LED顯示器4。如下圖所示。圖

27、3 共陰與共陽極LED顯示器LED顯示器可分為共陽和共陰兩種結(jié)構(gòu),如上圖所示。圖上為共陰結(jié)構(gòu)。即把8個發(fā)光二極管陰極連在一起。這時如果需要點亮a到g中的任何一盞燈,只需要在相應(yīng)的端口輸入高電平即可;輸入低電平則截止。比如我們現(xiàn)在要顯示數(shù)字“3”,則只要在對應(yīng)的a、b、c、d、g段送入高電平,在其他端送入低電平即可,點亮為“3”。共陰和共陽結(jié)構(gòu)的LED顯示器各筆劃段名和安排位置是相同的。當(dāng)二極管導(dǎo)通時,相應(yīng)的筆劃段發(fā)亮,由發(fā)亮的筆劃段組合而顯示的各種字符。8個筆劃段hgfedcba對應(yīng)于一個字節(jié)(8位)的D7 D6 D5 D4 D3 D2 D1 D0,于是用8位二進制碼就可以表示欲顯示字符的字形

28、代碼。例如,對于共陰LED顯示器,當(dāng)公共陰極接地(為零電平),而陽極hgfedcba各段為時,顯示器顯示"P"字符,即對于共陰極LED顯示器,“P”字符的字形碼是73H。如果是共陽LED顯示器,公共陽極接高電平,顯示“P”字符的字形代碼應(yīng)為(8CH)。下表列出了共陽極與共陰極LED顯示器顯示數(shù)字、字母與顯示代碼之間的對應(yīng)關(guān)系。表1 共陽共陰LED常見字符對應(yīng)段碼表顯示字符共陰極段碼共陽極段碼顯示字符共陰極段碼共陽極段碼03FHC087FH80H106HF996FH90H25BHA4A77H88H34FHB0B7CH83H466H99HC39HC656DH92HD5EHA1H

29、67DH82HE79H86H707HF8F71H8EH3.3.2 數(shù)碼管顯示方式點亮LED顯示器有兩種方式:一是靜態(tài)顯示;二是動態(tài)顯示。在本次設(shè)計中,采用的是靜態(tài)顯示。所謂靜態(tài)顯示,就是每一個顯示器都要占用單獨的具有鎖存功能的I/O接口用于筆劃段字形代碼。這樣單片機只要把要顯示的字形代碼發(fā)送到接口電路,就不用管它了,直到要顯示新的數(shù)據(jù)時,再發(fā)送新的字形碼,因此,使用這種方法單片機中CPU的開銷小。這種電路的優(yōu)點在于:在同一時間可以顯示不同的字符;但缺點就是占用端口資源較多。從下圖可以看出,每位LED顯示器需要單獨占用8根端口線,因此,在數(shù)據(jù)較多的時候,往往不采用這種設(shè)計,而是采用動態(tài)顯示方式。

30、圖4 動態(tài)顯示圖所謂動態(tài)顯示,就是將要顯示的多位LED顯示器采用一個8位的段選端口,然后采用動態(tài)掃描一位一位地輪流點亮各位顯示器。下圖為4位LED顯示器動態(tài)顯示電路。圖5 靜態(tài)顯示圖在此電路中,單片機的P0口用于控制4位LED的段選碼:P1口的P1.0P1.3用于控制4位LED位選碼。由于所有的段選碼連在一起,所以同一瞬間只能顯示同一種字符。但如果要顯示不同字符,則要借助位選碼來控制。(如果LED為共陰則P2.0P2.3輸出為高電平,如果LED為共陽則P1.0P1.3輸出為低電平。)例如,現(xiàn)在要顯示5678四個數(shù)字,則首先應(yīng)該將“5”的顯示代碼(共陰LED顯示器的顯示代碼為6DH,共陽LED顯

31、示器的顯示代碼為92H)由P1.0送出,然后P2.0P2.3輸出相應(yīng)位碼(LED為共陰則P2.0P2.3輸出1000,) LED為共陰則P2.0P2.3輸出0111)時,則可以看到在數(shù)碼管1上顯示的數(shù)字為“5”。再將顯示的數(shù)字“5”延時510ms,以造成視覺暫留效果;同時代碼由P1.0送出。用同樣的方法將其余3個數(shù)字“678”送數(shù)碼管2,3,4顯示,于是最后則可以在4位LED顯示器上看到“5678”四個數(shù)字。為了使顯示效果更加穩(wěn)定,可以使每個數(shù)碼管顯示的數(shù)字不斷的重復(fù),但其中重復(fù)頻率達到了一定的程度的時候,加之人眼睛本身的視覺暫留效果的作用,便可以看到相當(dāng)穩(wěn)定的“5678”四個數(shù)字。3.4 T

32、LC1543AD轉(zhuǎn)換芯片TLC1543美國TI司生產(chǎn)的多通道、低價格的模數(shù)轉(zhuǎn)換器。采用串行通信接口,具有輸入通道多、性價比高、易于和單片機接口的特點,可廣泛應(yīng)用于各種數(shù)據(jù)采集系統(tǒng) 。 TLC1543為20腳DIP裝的CMOS5。10位開關(guān)電容逐次A/D逼近模數(shù)轉(zhuǎn)換器,引腳排列下圖所示。其中A0A10(19 、11、12腳)為11 個模擬輸入端,REF+(14腳,通常為VCC)和REF-(13腳,通常為地)為基準(zhǔn)電壓正負端,CS(15腳)為片選端,在CS端的一個下降沿變化將復(fù)位內(nèi)部計數(shù)器并控制和使能ADDRESS、I/O CLOCK (18腳)和DATA OUT(16腳)。ADDRESS(17腳

33、)為串行數(shù)據(jù)輸入端,是一個1的串行地址用來選擇下一個即將被轉(zhuǎn)換的模擬輸入或測試電壓。DATA OUT 為A/D換結(jié)束3態(tài)串行輸出端,它與微處理器或外圍的串行口通信,可對數(shù)據(jù)長度和格式靈活編程。I/O CLOCK數(shù)據(jù)輸入/輸出提供同步時鐘,系統(tǒng)時鐘由片內(nèi)產(chǎn)生。芯片內(nèi)部有一個14通道多路選擇器,可選擇11個模擬輸入通道或3個內(nèi)部自測電壓中的任意一個進行測試。片內(nèi)設(shè)有采樣-保持電路,在轉(zhuǎn)換結(jié)束時,EOC(19腳)輸出端變高表明轉(zhuǎn)換完成。內(nèi)部轉(zhuǎn)換器具有高速(10µS轉(zhuǎn)換時間),高精度(10分辨率,最大±1LSB不可調(diào)整誤差)和低噪聲的特點。圖6 1543引腳排列3.4.1 TLC1

34、543芯片的工作時序TLC1543工作時序如圖2示,其工作過程分為兩個周期:訪問周期和采樣周期。工作狀態(tài)由CS使能或禁止,工作時CS必須置低電平。CS為高電平時,I/O CLOCK、ADDRESS被禁止,同時DATA OUT為高阻狀態(tài)。當(dāng)CPU使CS變低時,TLC1543開始數(shù)據(jù)轉(zhuǎn)換,I/O CLOCK、ADDRESS使能,DATA OUT脫離高阻狀態(tài)。隨后,CPU向ADDRESS提供4位通道地址,控制14個模擬通道選擇器從11個外部模擬輸入和3個內(nèi)部自測電壓中選通1 路送到采樣保持電路。同時,I/O CLOCK輸入時鐘時序,CPU從DATA OUT 端接收前一次A/D轉(zhuǎn)換結(jié)果。I/O CLO

35、CK從CPU 接收10時鐘長度的時鐘序列。前4個時鐘用4位地址從ADDRESS端裝載地址寄存器,選擇所需的模擬通道,后6個時鐘對模擬輸入的采樣提供控制時序。模擬輸入的采樣起始于第4個I/O CLOCK下降沿,而采樣一直持續(xù)6個I/O CLOCK周期,并一直保持到第10個I/O CLOCK下降沿。轉(zhuǎn)換過程中,CS的下降沿使DATA OUT引腳脫離高阻狀態(tài)并起動一次I/O CLOCK工作過程。CS上升沿終止這個過程并在規(guī)定的延遲時間內(nèi)使DATA OUT引腳返回到高阻狀態(tài),經(jīng)過兩個系統(tǒng)時鐘周期后禁止I/O CLOCK和ADDRESS端。圖7 1543工作時序3.4.2 TLC1543的軟硬設(shè)計要點T

36、LC1543三個控制輸入端CS、I/O CLOCK、ADDRESS和一個數(shù)據(jù)輸出端DATA OUT遵循串行外設(shè)接口SPI協(xié)議,要求微處理器具有SPI口。但大多數(shù)單片機均未內(nèi)置SPI口(如目前國內(nèi)廣泛采用的MCS51和PIC列單片機),需通過軟件模擬SPI協(xié)議以便和TLC1543接口。TLC 1543芯片的三個輸入端和一個輸出端與51 系列單片機的I/O口可直接連接,具體連接方式可參見圖3。軟件設(shè)計中,應(yīng)注意區(qū)分TLC1543的11個模擬輸入通道和3個內(nèi)部測試電壓地址(后3個地址只用來測試你寫的地址是不是正確的,真正使用時不用后三個地址)。附表為模擬通道和內(nèi)部電壓測試地址。程序軟件編寫應(yīng)注意TL

37、C1543通道地址必須為寫入字節(jié)的高四位,而CPU讀入的數(shù)據(jù)是芯片上次A/D轉(zhuǎn)換完成的數(shù)據(jù)。在本文后附的程序中對此有詳細的說明。表2 1543模擬量輸入地址表3.4.3 TLC1543芯片的應(yīng)用TLC1543與89C51接口程序。  TLC1543與89C51接口程序應(yīng)完全依照TLC1543的工作時序編寫,主要由CONVETER 子程序組成。由于轉(zhuǎn)換完成的數(shù)據(jù)為10位,軟件編寫時將數(shù)據(jù)的高位字節(jié)存放在2EH單元中,低位字節(jié)存放在2FH單元中。其中R4、R3寄存器分別存放TLC1543的通道地址和數(shù)量;R1、R2寄存器存放A/D轉(zhuǎn)換結(jié)果。4 電源設(shè)計方案論證4.1 設(shè)計方案分析可調(diào)穩(wěn)壓

38、電源的設(shè)計可以通過幾種方法實現(xiàn),根據(jù)具體的設(shè)計要求,通過比較論證來確定我們到底要用哪個方案。方案一:采用模擬的分立元件,通過電源變壓器、整流濾波電路以及穩(wěn)壓電路,實現(xiàn)穩(wěn)壓電源穩(wěn)定輸出正、負5V、12V、15V并能可調(diào)輸出024電壓。如圖1.1所示。 但由于模擬分立元件的分散性較大,各電阻電容之間的影響很大,因此所設(shè)計的指標(biāo)不高,而且使用的器件較多,連接復(fù)雜,體積較大,供耗也大,給焊接帶來了麻煩,同時焊點和線路較多,使成品的穩(wěn)定性和精度也受到影響。U4U0u4U3U2U1負載220V變壓器整流電路濾波電路穩(wěn)壓電路輸入圖8 直流電源基本組成框圖方案二:以一穩(wěn)壓電源為基礎(chǔ),以高性能單片機系統(tǒng)為控制核

39、心,以穩(wěn)壓驅(qū)動放大電路、過流檢測電路為外圍的硬件系統(tǒng),在檢測與控制軟件的支持下實現(xiàn)對電壓輸出的數(shù)字控制,通過對穩(wěn)壓電源輸出的電流、電壓進行數(shù)據(jù)采樣與給定數(shù)據(jù)比較,從而調(diào)整和控制穩(wěn)壓電源的工作狀態(tài)及監(jiān)測開關(guān)電路的。采用單片機作為控制器的簡易數(shù)控直流電源設(shè)計方案如圖1.2所示。設(shè)計方案采用單片機作為控制器完成數(shù)控部分、鍵盤、顯示器接口控制。輸出部分采用D/A0832與運算放大器UA714,輸出電壓波形由單片機的輸出數(shù)據(jù)控制,不僅可以輸出直流電平,而且只要預(yù)先生成波形的量化數(shù)據(jù),就可以產(chǎn)生多種波形輸出。利用軟件和硬件結(jié)合的方法來設(shè)計穩(wěn)壓電源,其精度和穩(wěn)定性都有所提高; 圖9 可調(diào)直流電源設(shè)計方案4.

40、2 方案選擇以上兩種方案均可以達到輸出穩(wěn)壓電源的要求。方案一是利用純硬件來實現(xiàn)其功能的,方案二是以單片機核心控制器件,采用軟硬件結(jié)合來實現(xiàn)的。方案一電源功能簡單、難控制、可靠性低、干擾大、精度低且體積大、復(fù)雜度高。而方案二基于單片機控制的直流穩(wěn)壓電源能較好地解決以上傳統(tǒng)穩(wěn)壓電源的不足。本電源采用全集成電路設(shè)計制成,具有短路過載自動保護功能。精度高,連續(xù)可調(diào),可用于多路實驗用電。 因此,我們采取方案二,通過單片機來控制直流穩(wěn)壓電源的輸出。5 電源硬件電路設(shè)計既然要進行電路設(shè)計自然就少不了Protel99 SE 3 的使用,所以先介紹一下該軟件的資料及使用情況。5.1 Protel 99SE軟件P

41、rotel 99SE是ProklTechnology公司開發(fā)的基于Windows環(huán)境下的電路板設(shè)計。該軟件功能強大,人機界面友好,易學(xué)易用,仍然是大中專院校電學(xué)專業(yè)必學(xué)課程,同時也是業(yè)界人士首選的電路板設(shè)計工具6。 Protel 99SE 由兩大部分組成:電路原理圖設(shè)計(Advanced Schematic)和多層印刷電路板設(shè)計(Advanced PCB)。其中Advanced Schematic由兩部分組成:電路圖(Schematic)和元件庫編輯器(Schematic Library)。一般使用時,進入Design Protel 99se后在Documents中通過右鍵 “New” 建立

42、“Schematic Document”文件,打開后即可進行電路原理圖的編輯。先按照已畫好的電路草圖將所有元件找 到拖放到編輯框里。將編輯框縮小,將元件照電路的樣子搭好,整體上排列勻稱。接下來就可以進行局部的連線了。 或者可以先將電路的各個模塊先搭好,再通過框定各模塊平移組合成完整的電路,取消框定要通過EditDeSelectInside Area再用鼠標(biāo)框定以前選中的模塊,就可以解除,表現(xiàn)為模塊由黃色變成普通顏色。 對某個工程的操作是對一個數(shù)據(jù)庫的操作,因此不同的數(shù)據(jù)庫會在不同的窗口中打開, 通過最小化可看高各個數(shù)據(jù)庫的窗口。 電源Protel99 SE 7共分5個模塊,分別是原理圖設(shè)計、P

43、CB設(shè)計(包含信號完整性分析)、自動布線器、原理圖混合信號仿真、PLD設(shè)計。5.2 電源原理圖設(shè)計5.2.1 電路原理圖設(shè)計本節(jié)主要介紹該穩(wěn)壓電壓的電路組成部分,電路原理圖及PCB圖。該直流穩(wěn)壓電源主要由四部分組成:電源變壓及整流電路、模數(shù)轉(zhuǎn)換電路、單片機控制電路和數(shù)碼管顯示電路。各部分電路如下所示:1 開關(guān)電源電路該部電路包括變壓、 整流、濾波、穩(wěn)壓等各部分電路8。市電經(jīng)過變壓器降壓后,通過78L15變?yōu)?5v,對該電壓整流后的一部分電壓直接作為開關(guān)變換電路的輸入電壓,另外將其通過78L05得到5v的電壓,給開關(guān)電源控制電路部分的單片機提供工作電源。電路中采用發(fā)光二極管作為電源指示燈,交流2

44、20v降壓后經(jīng)過整流橋整流輸出直流電壓作為開關(guān)變換電路的輸入電壓,78L05穩(wěn)壓輸出5v給單片機提供電源圖10 開關(guān)電源電路圖2 模數(shù)轉(zhuǎn)換電路AD轉(zhuǎn)換模塊TLC1543具有10位精度11通道 三種內(nèi)建的自測模式該芯片與單片機的接口采用串行接口方式,引線很少,與單片機連接簡單。如圖所示,其中A0A10是11路輸入,Vcc和GND分別是電源引腳,REF+和REF-分別是參考電源的正負引腳,I/O Clock 是芯片的時鐘端,Adress 是地址選擇端,Data Out是數(shù)據(jù)輸出端,這三根引腳分別接到CPU的三個I/O端即可。EOC用于指示一次AD轉(zhuǎn)換以完成,CPU可以讀取數(shù)據(jù),該引腳是低電平有效,

45、根據(jù)需要改引腳可接入CPU的中斷引腳,一旦數(shù)據(jù)轉(zhuǎn)換完成,向CPU提出中斷請求,在CPU向TLC1543發(fā)出轉(zhuǎn)換命令后,過一段固定的時間去讀取數(shù)據(jù)即可9。圖11 1543芯片轉(zhuǎn)換電路圖3 單片機控制電路本設(shè)計的控制核心使用AT89S52單片機,由于外部設(shè)備大多采用串行接口,單片機的外圍電路就十分簡單,只要外接晶體振蕩器和復(fù)位電路即可。圖12 單片機接口電路圖4 數(shù)碼管顯示電路顯示電路如下圖所示,數(shù)碼管段碼接單機的P0口,位碼接單片機的P10P13口。由于單片機內(nèi)P0口沒有上拉電阻單片機上的P0口需接上上拉電阻,同時段碼和P0口之間也需要加電阻以保證數(shù)碼管。圖13 數(shù)碼管顯示電路圖5 電源硬件電路

46、原理圖圖14 開關(guān)電源電路原理圖6. 硬件電路PCB圖采用PROTEL 99 SE 進行硬件電路的設(shè)計,最后生成的PCB板圖如下:圖15 開關(guān)電源電路PCB圖5.2.2 電路軟件設(shè)計電路軟件設(shè)計主要是對單片機控制程序的設(shè)計。C語言在單片機的應(yīng)用中,由于其邏輯性強,可讀性好,比匯編語言靈活,簡練,目前越來越多的人從普遍使用匯編語言到逐漸使用C語言開發(fā),市場上幾種常見的單片機均有其C 語言開發(fā)環(huán)境。因此,在本系統(tǒng)中,考慮到匯編語言的這些缺點,采用了C語言作為軟件設(shè)計語言。在本文前面幾節(jié)介紹了系統(tǒng)原理圖及硬件設(shè)計的基礎(chǔ)上,進行軟件部分的設(shè)計。系統(tǒng)編程分為主程序和若干部分程序兩個部分,每個部分由若干模

47、塊組成,主要包括主程序,TLC1543子程序,延時程序,定時器中斷程序等幾部分。程序編寫如本文附錄所示。5.3 電路板的焊接及調(diào)試5.3.1 電路板的焊接依照以下步驟焊接元件、組裝電路:(1)、準(zhǔn)備好電烙鐵,剪刀、鑷子、焊錫等工具,對電烙鐵進行除氧化膜,涂焊錫等處理。(2)、規(guī)劃元件在電路板上的位置,注意元件的總體布局,兼顧美觀,開關(guān)、變阻器位置應(yīng)便于用戶操作。(3)、在電路板上插好元件,開始焊接,逐步完成各個模塊到整個電路的焊接及連線。(4)、檢查焊點,觀察有無虛焊點,對部分焊點進行修整。5.3.2電路板的調(diào)試完成電路焊接及連線以后,按照以下步驟調(diào)試電路:(1)、接通220V電源后,調(diào)節(jié)的滑

48、動變阻器R16,查看數(shù)碼管輸出數(shù)據(jù),注意最大值和最小值的變化。(2)、用萬用表測量輸出電壓,將輸出電壓調(diào)至大約15V,調(diào)節(jié)與L317CV的iadjd端串連的滑動變阻器,改變輸出電壓大小??磾?shù)碼管的示數(shù)與萬用表的測量值是否一致(3)、調(diào)節(jié)輸出電壓大小,看是否能實現(xiàn)015V可調(diào),若不能則改變電路中的out端與gnd端的電阻值,實現(xiàn)在全范圍內(nèi)連續(xù)可調(diào)。電路焊接板圖片如下: 圖16 開關(guān)電源硬件焊接實物圖結(jié)論本次的課程設(shè)計共*周時間,分別進行了可調(diào)直流電源電路原理圖的設(shè)計,電路仿真圖的設(shè)計以及實物電路板的焊制幾個過程。經(jīng)過這次的課程設(shè)計,我們不僅加深了對Protel99 SE軟件的應(yīng)用和Proteus

49、仿真軟件的了解和使用,還學(xué)到了許多課本上沒有涉及知識,練習(xí)了電路原理圖的設(shè)計和仿真運行,同時對以前學(xué)習(xí)的單片機課程進行了一次全面的復(fù)習(xí)和鞏固,收益很大。第一階段是對穩(wěn)壓開關(guān)電源電路原理圖設(shè)計,剛開始感覺有一定的難度,主要是對Protel99 SE軟件及功能的不了解。但在老師和同學(xué)的幫助下還是順利的完成了,進而對接下來的兩個任務(wù)有了興趣。整個課設(shè)的過程就是一個學(xué)習(xí)的過程。因為在課設(shè)的過程中,我們必須熟悉電路原理及器件的使用特點,這些都是對課本知識復(fù)習(xí)和鞏固。所以 我覺得課設(shè)是一個很重要的學(xué)習(xí)環(huán)節(jié),值得我們應(yīng)該很認真的去對待!由于本次課程設(shè)計是個人獨立進行完成的,所以通過這次的課設(shè)我更加了解到獨立

50、學(xué)習(xí)的重要性。這次課設(shè)讓我對單片機有了進一步的了解,而且對Proteus仿真軟件的有了一定了解。體會到了Proteus仿真軟件的強大。最主要的是我們能夠自己通過單片機焊接事物,這是我們在課堂上是學(xué)不到的。極大地增強了我們的動手實踐能力。通過本次課設(shè),能夠使我們熟練掌握單片機控制電路的設(shè)計、程序編寫和整體焊接及系統(tǒng)調(diào)試,從而全面地提高我們對單片機的軟件、硬件等方面的理解,進而增強我們在實踐環(huán)節(jié)的動手操作能力。譬如,我們可以根據(jù)實驗指導(dǎo)書的要求,完成開關(guān)電源電路的硬件設(shè)計、電路器件的選擇、單片機軟件的運行、以及整體系統(tǒng)調(diào)試,并寫出完善的設(shè)計報告。在進行課設(shè)之前,要求我們具備數(shù)字電路、模擬電路、電路

51、基礎(chǔ)、微機原理、電力電子和單片機等相關(guān)課程的知識,并具備一些基本的實踐操作水平,為以后的就業(yè)打好一定的基礎(chǔ)。致謝 參考文獻1 喬恩明開關(guān)電源工程設(shè)計快速入門中國電力出版社2010.42 康華光電子技術(shù)基礎(chǔ)(模擬部分) 高等教育出版社20023 倪曉軍單片機原理與接口技術(shù)教程.北京:清華大學(xué)出版社,2009 .4 4 李廣弟,單片機基礎(chǔ). 北京:北京航空航天大學(xué)出版社19944 康華光電子技術(shù)基礎(chǔ)(數(shù)字部分) 高等教育出版社20026 姚華春 向華Protel99SE基礎(chǔ)教程北京:人民名郵電出版社,20097 韓穎 J中國科教創(chuàng)新導(dǎo)刊2008年31期8 王兆安,劉進軍電力電子技術(shù)(第五版) 北京:機械工業(yè)出版社2009.59 黃俊,秦祖萌電力電子自關(guān)斷器件及電路北京:機械工業(yè)出版社2007.410 周靈彬,張靖武J.單片機與嵌入式系統(tǒng)應(yīng)用2008年01期附錄基于單片機的直流可調(diào)電源的設(shè)計程序#include<reg52.h>#include <intrins.h>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論