基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì)_第1頁(yè)
基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì)_第2頁(yè)
基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì)_第3頁(yè)
基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì)_第4頁(yè)
基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、海 南 師 范 大 學(xué)本 科 生 畢 業(yè) 論 文 題目:基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì) 姓 名: xx 學(xué) 號(hào): xxx 專 業(yè): 電子信息科學(xué)與技術(shù) 年 級(jí): 2009 級(jí) 系 別: 電子系 指導(dǎo)老師: xxx完成日期: 2013年5月 - 21 -本科生畢業(yè)論文(設(shè)計(jì))獨(dú)創(chuàng)性聲明本人聲明所呈交的畢業(yè)論文(設(shè)計(jì))是本人在導(dǎo)師指導(dǎo)下進(jìn)行的研究工作及取得的研究成果,除了文中特別加以標(biāo)注和致謝的地方外,本論文中沒(méi)有抄襲他人研究成果和偽造數(shù)據(jù)等行為 。與我一同工作的同志對(duì)本研究所做的任何貢獻(xiàn)均已在論文中作了明確的說(shuō)明并表示謝意。論文(設(shè)計(jì))作者簽名: 日期: 本科生畢業(yè)論文(設(shè)計(jì)

2、)使用授權(quán)聲明海南師范大學(xué)有權(quán)保留并向國(guó)家有關(guān)部門(mén)或機(jī)構(gòu)送交畢業(yè)論文(設(shè)計(jì))的復(fù)印件和磁盤(pán),允許畢業(yè)論文(設(shè)計(jì))被查閱和借閱。本人授權(quán)海南師范大學(xué)可以將本畢業(yè)論文(設(shè)計(jì))的全部或部分內(nèi)容編入有關(guān)數(shù)據(jù)庫(kù)進(jìn)行檢索,可以采用影印、縮印或其他復(fù)印手段保存、匯編畢業(yè)論文(設(shè)計(jì))。論文(設(shè)計(jì))作者簽名: 日期: 指 導(dǎo) 教 師 簽 名: 日期: 目 錄1.引言 2 1.1課題研究背景2 1.2波形介紹22.系統(tǒng)設(shè)計(jì) 4 2.1方案選擇4 2.2框圖設(shè)計(jì)4 2.3單片機(jī)模塊52.3.1單片機(jī)最小系統(tǒng)52.4按鍵控制電路設(shè)計(jì)82.5 D/A轉(zhuǎn)換電路 82.5.1 D/A轉(zhuǎn)換的必要性 8 2.5.2 DAC08

3、32的特性及應(yīng)用 82.6 LED顯示電路 102.7 運(yùn)算放大器的特性及應(yīng)用 133.硬件設(shè)計(jì)143.1整體的電路原理圖 143.2元件清單154.軟件設(shè)計(jì) 164.1程序流程圖 164.2程序清單175系統(tǒng)仿真及調(diào)試185.1系統(tǒng)仿真圖185.2系統(tǒng)調(diào)試216 總結(jié)217 參考文獻(xiàn)23基于AT89C51單片機(jī)的多功能函數(shù)信號(hào)發(fā)生器設(shè)計(jì)作者:xx 指導(dǎo)老師:xx(海南師范大學(xué),電子系,??冢?71158) 摘 要:信號(hào)發(fā)生器也叫做振蕩器或是信號(hào)源,在現(xiàn)在的科技生產(chǎn)實(shí)踐中有著廣泛而重要的應(yīng)用?,F(xiàn)在的特殊波形發(fā)生器在價(jià)格上不夠經(jīng)濟(jì),有些昂貴。而基于AT89C51單片機(jī)的函數(shù)信號(hào)發(fā)生器可以滿足此要

4、求。根據(jù)傅里葉變換,各種波形均可以用三角函數(shù)的相關(guān)式子表示出來(lái)。函數(shù)信號(hào)發(fā)生器能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波、方波和正弦波。本文通過(guò)在單片機(jī)的外圍加上鍵盤(pán),控制波形的種類和輸出頻率的大小,加上LED顯示出相應(yīng)信息。單片機(jī)輸出為數(shù)字信號(hào),于是在輸出端用DAC0832進(jìn)行D/A轉(zhuǎn)換,再通過(guò)兩級(jí)運(yùn)放對(duì)波形進(jìn)行調(diào)整。最終在示波器上顯示出來(lái)。關(guān)鍵詞:信號(hào)發(fā)生器 AT89C51 D/A轉(zhuǎn)換 波形調(diào)整The design of multifunction signal generator based on AT89C51Author:Zhang qin Tutor:Professor Lin j

5、i(Department of Electronic, Hainan normal university,Haikou, 571158)Abstract:Signal generator is also called source or oscillator. It has been widely used in production practice or the field of science and technology. Now, the price of the special waveform generator is not economic and some expensiv

6、e. While the function signal generator based on AT89C51 can satisfy this requirement. As we know, it can be represented with trigonometric function equation for kinds of waveform curve. The one can produce various waveform, such as triangle wave, sawtooth wave, rectangle wave, square wave and sine w

7、ave is often called function signal generator. Function signal generator is broad-spectrum in circuit experiment and test equipment. It can control the type and the output frequency of the waveform when the microcontroller are equipped with the keyboard. Also when it coupled with the LED it can be d

8、isplayed. It can be sure that it is digital signal that come from the microcontroller. So we should add the DAC0832 on the output side for D/A conversion. With the two levels of op-amp we can adjust waveform. Finally display on the oscilloscope.Keywords:signal generator, AT89C51, D/A conversion,wave

9、 adjust1. 引 言 1.1 課題研究背景隨著經(jīng)濟(jì)與科技不斷發(fā)展,相應(yīng)的測(cè)試儀器與手段也有了許多改善與提高,但是對(duì)之要求也不斷提高。波形發(fā)生器的信號(hào)已知,使用者然后根據(jù)具體的要求,將其作為激勵(lì)源,測(cè)得感興趣的參數(shù)。信號(hào)源仿真各種測(cè)試信號(hào),給待測(cè)電路,從而滿足現(xiàn)實(shí)需求。信號(hào)發(fā)生器在仿真實(shí)驗(yàn)占有重要地位,對(duì)于測(cè)試儀器來(lái)說(shuō)也同樣不可缺少。因此對(duì)相關(guān)信號(hào)發(fā)生器的研究開(kāi)發(fā)有著一定的意義。傳統(tǒng)的信號(hào)發(fā)生器電路復(fù)雜,控制靈活度不夠,成本也相對(duì)較高。雖然我國(guó)所研制的波形發(fā)生器在一定程度上已有了一些成果,但與國(guó)外技術(shù)確實(shí)還存在一定差距,因此很有必要提高相關(guān)方面的研究。利用單片機(jī)的控制靈活性,外設(shè)處理能力強(qiáng)

10、等特點(diǎn),實(shí)現(xiàn)頻率與幅度可調(diào)的多種波形,這就克服了傳統(tǒng)的缺點(diǎn),具有良好的實(shí)用性。同時(shí)根據(jù)程序的易控制性,可以容易實(shí)現(xiàn)各種較復(fù)雜的調(diào)頻調(diào)幅功能。 1.2 波形介紹 ·正弦波正弦信號(hào)可用如下形式表示f (t)=Asin(t+ ) (1)其中,A 為振幅, 是角頻率, 為初相位。正弦函數(shù)為一周期信號(hào)如下圖1所示:圖1 正弦波·方波方波函數(shù)是我們常用且所熟知的簡(jiǎn)單波形函數(shù),做脈沖等,其表示形式如下: (2)方波波形如下:圖2 圖形當(dāng)方波下半段幅值為0時(shí),就為矩形波,一個(gè)原理,所以不再贅述矩形波。·鋸齒波鋸齒波如圖3所示:圖3 鋸齒波圖形·三角波三角波波形如下圖所示

11、:圖42.系統(tǒng)設(shè)計(jì)2.1方案選擇方案一:利用單片的函數(shù)發(fā)生器的傳統(tǒng)方式,比如8038就行。它可很容易地產(chǎn)生正弦波和方波等波形,而后用數(shù)/模轉(zhuǎn)換器對(duì)電壓進(jìn)行調(diào)制,也可以用數(shù)字調(diào)控對(duì)頻率實(shí)現(xiàn)改變,但這種方法產(chǎn)生頻率不穩(wěn)定。方案二:采用頻率合成器,鎖相環(huán)式的。對(duì)于所選擇的頻率,用VCO(壓控振蕩器)加以選定。這種方法較第一種性能上好,但是對(duì)于頻率的輸出范圍難以達(dá)到。而且有一個(gè)特點(diǎn),即電路較為復(fù)雜。方案三:可以利用單片機(jī)編程的方法來(lái)實(shí)現(xiàn)波形的輸出??蛇x用AT89C51作為控制器,輸出相應(yīng)波形的數(shù)字信號(hào),再用D/A轉(zhuǎn)換器輸出相應(yīng)波形的模擬信號(hào)。用DAC0832作為D/A轉(zhuǎn)換器,再經(jīng)過(guò)兩級(jí)放大后輸出,最終

12、在示波器上顯示。可以使用按鍵掃描來(lái)實(shí)現(xiàn)波形的變化3。由于方案一的輸出信號(hào)的頻率不穩(wěn)定還有二方案的電路較為復(fù)雜,頻率可調(diào)范圍難以達(dá)標(biāo)等缺點(diǎn),所以決定采用方案三的設(shè)計(jì)方法。方案三的設(shè)計(jì)用軟件可以很方便的實(shí)現(xiàn)對(duì)硬件的控制,輸出需要的波形。而且方案三中涉及的器件都是容易得到而且價(jià)格較為便宜的,所以價(jià)格上也有優(yōu)勢(shì)。2.2框圖設(shè)計(jì)基于AT89C51單片機(jī)的函數(shù)信號(hào)發(fā)生器由電源電路、單片機(jī)主控電路、信號(hào)輸出電路和按鍵控制電路四部分組成,整體設(shè)計(jì)框圖如圖所示復(fù)位電路按鍵電路AT89C51主控電路輸出電路電源電路圖5 函數(shù)信號(hào)發(fā)生器系統(tǒng)圖AT89C51單片機(jī)是整個(gè)函數(shù)信號(hào)發(fā)生器的主控部分,通過(guò)電腦對(duì)程序改寫(xiě),可

13、以產(chǎn)生不同波形,也可對(duì)同種波形的頻率幅度進(jìn)變換。當(dāng)單片機(jī)輸出數(shù)字信號(hào)經(jīng)過(guò)轉(zhuǎn)換電路后輸出相應(yīng)模擬信號(hào)。上圖中輸出電路包涵轉(zhuǎn)換電路與整波電路。下圖為函數(shù)信號(hào)發(fā)生器的原理圖。輸出波形濾波放大D/A轉(zhuǎn)換器接口電路89C51單片機(jī)圖6 信號(hào)發(fā)生器原理框圖2.3單片機(jī)模塊2.3.1單片機(jī)最小系統(tǒng) 8051片內(nèi)有4KB的ROM/EPROM,因此只需要外接晶振電路和復(fù)位電路就可以構(gòu)成最小系統(tǒng)了,如圖所示。圖7 單片機(jī)最小系統(tǒng)·該最小系統(tǒng)的特點(diǎn)如下:(1)由于片外沒(méi)有擴(kuò)展存儲(chǔ)器和外設(shè),P0、P1、P2、P3都可以作為用戶I/O 接口使用。(2)片內(nèi)數(shù)據(jù)存儲(chǔ)器有128B,地址空間為00H7FH,片外沒(méi)數(shù)

14、據(jù)存儲(chǔ)器。(3)片內(nèi)有4KB的程序存儲(chǔ)器,地址空間為0000H0FFFH,沒(méi)有偏外存儲(chǔ)器,應(yīng)接高電平。(4)可以使用兩個(gè)定時(shí)/計(jì)數(shù)器T0和T1,一個(gè)全雙工的串行通信接口,5個(gè)中斷源1。·晶振電路工作原理及應(yīng)用 單片機(jī)有18、19兩引腳。分別為XTAL1和XTAL2。單片機(jī)采取內(nèi)部振蕩電路時(shí),將這兩引腳接石英晶體與微調(diào)電容。此設(shè)計(jì)采用的是12M晶振和兩個(gè)30pF的電容。在芯片內(nèi)部結(jié)構(gòu)中,XTAL1和XTAL2引腳是一反相放大器的兩個(gè)輸入端,構(gòu)成單片機(jī)內(nèi)部振蕩器。同樣,根據(jù)需要的不同,也可采用外部時(shí)鐘方式。本次設(shè)計(jì)采用內(nèi)部時(shí)鐘方式。如圖所示。圖8 內(nèi)部時(shí)鐘方式XTAL1XTAL2

15、3;單片機(jī)復(fù)位電路工作原理及應(yīng)用計(jì)算機(jī)在啟動(dòng)運(yùn)行時(shí)都需要復(fù)位,復(fù)位是使中央處理器CPU和內(nèi)部其他部件處于一個(gè)確定的初始狀態(tài),從這個(gè)狀態(tài)開(kāi)始工作1。89C51單片機(jī)有一個(gè)復(fù)位引腳RST,高電頻有效。在時(shí)鐘電路工作以后,當(dāng)外部電路使得RST端出現(xiàn)2個(gè)機(jī)器周期以上的高電平后系統(tǒng)就會(huì)內(nèi)部復(fù)位1。我們采用按鍵復(fù)位方式。如圖所示。VccRSTVssMCS-511k200W圖9 按鈕復(fù)位電路2.4按鍵控制與顯示電路設(shè)計(jì) 本次設(shè)計(jì)共設(shè)計(jì)了4個(gè)按鍵,分別為開(kāi)關(guān)S1、S2、S3和S4。分別接單片機(jī)的P3.0、P3.1、P3.3、P3.4口。S1用來(lái)控制輸出波的類別,每按一次,切換到另一個(gè)波形;S2與S3則調(diào)節(jié)輸出

16、波形頻率的增減,按S2時(shí),輸出平率增加,而S3則減小;S4則用來(lái)顯示波形的種類與頻率的大小。如圖所示。圖10 按鍵電路2.5 D/A轉(zhuǎn)換電路D/A轉(zhuǎn)換器經(jīng)常用于信號(hào)發(fā)生器的設(shè)計(jì)中,通過(guò)它可以生成各種波形。其基本原理如下:利用D/A轉(zhuǎn)換器輸出模擬量與輸入數(shù)字量成正比這一特點(diǎn),通過(guò)程序控制CPU向D/A轉(zhuǎn)換器送出隨時(shí)間成一定規(guī)律變化的數(shù)字,則D/A轉(zhuǎn)換器輸出端就可輸出隨時(shí)間按一定規(guī)律變化的波形1。2.5.1 D/A轉(zhuǎn)換的必要性D/A是先把數(shù)字量轉(zhuǎn)換成模擬量,在單片機(jī)設(shè)計(jì)中經(jīng)常用到。眾所周知,單片機(jī)輸出信號(hào)為數(shù)字量,而本設(shè)計(jì)旨在輸出各種波形,屬模擬量,所以單片機(jī)的數(shù)字量必須經(jīng)過(guò)D/A的轉(zhuǎn)換變?yōu)槟M信

17、號(hào)才能滿足要求。 2.5.2 DAC0832的特性及應(yīng)用DAC0832是一個(gè)8位的D/A轉(zhuǎn)換器,為DAC0830的一種。DAC0832內(nèi)部主要由8為輸入寄存器、8位DAC寄存器、8位D/A轉(zhuǎn)換器和控制邏輯電路組成。由于DAC0832與單片機(jī)接口方便,其轉(zhuǎn)換與控制較易實(shí)現(xiàn),所以在實(shí)際工作中有其重要的作用,使用的也較為頻繁。其內(nèi)部結(jié)構(gòu)及引腳圖如圖所示。圖11 DAC0832的內(nèi)部結(jié)構(gòu)圖12 DAC0832的引腳圖 DAC0832是D/A轉(zhuǎn)換器的一類,屬電流型,所以后要跟著I/V轉(zhuǎn)換。數(shù)字輸入端可以有單緩沖、雙緩沖或直通方式輸入。當(dāng)引腳、直接接地時(shí),ILE接電源,DAC0832處于直通工作方式。這種

18、狀態(tài)下,有輸入就立即有輸出,處理方式簡(jiǎn)單,但只能通過(guò)獨(dú)立的I/O接口連接。當(dāng)連接ILE、和引腳,使得兩鎖存器分別工作在直通與受控狀態(tài),或者兩個(gè)同事被控制,則DAC0832處于單緩沖工作方式1。只要數(shù)據(jù)DAC0832寫(xiě)入8位輸入鎖存器,就立即開(kāi)始轉(zhuǎn)換。雙緩沖方式即將對(duì)8位DAC寄存器與對(duì)鎖存器的控制分開(kāi)進(jìn)行,占用的I/O口相對(duì)較大。根據(jù)以上分析,此次設(shè)計(jì)選取單緩沖方式。如圖所示。圖13 DAC0832單緩沖方式的連接2.6 顯示電路顯示電路分為3部分,分別為L(zhǎng)ED燈顯示,LED數(shù)碼管顯示,最后還有示波器顯示。 ·LED燈顯示本次設(shè)計(jì)共用了4個(gè)LED燈,分別表示示波器上顯示了對(duì)應(yīng)的波形,

19、單片機(jī)的P2.4P2.7分別控制相應(yīng)燈的亮滅。電路圖如圖所示。圖14 ·LED數(shù)碼管顯示 本次LED數(shù)碼管的作用是用來(lái)顯示相應(yīng)輸出信號(hào)的頻率。LED數(shù)碼管顯示電路盡管其所能顯示的信息簡(jiǎn)單,但由于其清晰、亮度高使用時(shí)間長(zhǎng)和所需電壓要求低等優(yōu)勢(shì),在單片機(jī)應(yīng)用中有著應(yīng)用頻率較高。LED數(shù)碼管顯示器是簡(jiǎn)單地發(fā)光二級(jí)管按特定的電路連接起來(lái)的顯示性器件。而我們?cè)谝恍﹩纹瑱C(jī)設(shè)計(jì)中常用的是8段式的LED數(shù)碼管顯示器,其分為兩類,共陰和共陽(yáng)之分。其結(jié)構(gòu)如圖所示。本次設(shè)計(jì)采用共陰方式。圖15 LED數(shù)碼管結(jié)構(gòu)圖常用數(shù)字和字符的編碼如下表:表1 常用字段編碼表顯示字符共陰極字段碼共陽(yáng)極字段碼顯示字符共陰極

20、字段碼共陽(yáng)極字段碼03FHC0HC39HC6H106HF9HD5EHA1H25BHA4HE79H86H34FHB0HF71H8EH466H99HP73H8CH56DH92HU3EHC1H67DH82HT31HCEH707HF8HY6EH91H87FH80HL38HC7H96FH90H8FFH00HA77H88H“滅”00FFHB7CH83HLED數(shù)碼管在顯示的方式上有動(dòng)態(tài)顯示和靜態(tài)顯示兩種方法。當(dāng)LED靜態(tài)顯示時(shí),公共端接地或接電源,根據(jù)共陰還是共陽(yáng)決定。但每一段的段選段都要與I/O接口連接。這種方式結(jié)構(gòu)簡(jiǎn)單,程序也簡(jiǎn)單易理解。但缺點(diǎn)也因此點(diǎn)而來(lái),一個(gè)數(shù)碼管就要8個(gè)I/O口,數(shù)碼管若多有幾個(gè),

21、則就會(huì)占用很多I/O口。而當(dāng)LED采取動(dòng)態(tài)顯示時(shí),是將所有數(shù)碼管的段選段并接在一起的,用公共的I/O控制端,公共端不接地或是電源,而是連接I/O口,實(shí)現(xiàn)位選,即選取那個(gè)數(shù)碼管亮,幾個(gè)數(shù)碼管輪流顯示相應(yīng)信息,這樣不斷循環(huán)。根據(jù)人眼短暫滯留性,當(dāng)循環(huán)周期足夠快時(shí),看著就像幾個(gè)一起顯示的。此種方式優(yōu)點(diǎn)是所用I/O口少,線路較簡(jiǎn)單,但軟件與CPU的占用較大。一般當(dāng)所用LED顯示器的個(gè)數(shù)少時(shí)用靜態(tài)顯示。反之則用動(dòng)態(tài)顯示。本次設(shè)計(jì)采取了動(dòng)態(tài)顯示的方案完成顯示工作。LED動(dòng)態(tài)顯示方式如圖所示。圖16 LED動(dòng)態(tài)顯示2.7 放大電路設(shè)計(jì) 可知,單片機(jī)出來(lái)的信號(hào)經(jīng)D/A轉(zhuǎn)換后的模擬信號(hào)也只是電流信號(hào),所以要將次

22、電流信號(hào)轉(zhuǎn)換為電壓信號(hào)。于是,需加上雙極性轉(zhuǎn)化電路。此電路需要兩個(gè)放大器,若干電阻,其具體電路如圖所示。圖17 雙極性轉(zhuǎn)化電路 圖中第一個(gè)放大器的作用是將輸出的電流信號(hào)轉(zhuǎn)換成電壓信號(hào),第二個(gè)運(yùn)算放大器和兩電阻組成的是方向加法器。3硬件設(shè)計(jì)3.1整體的電路原理圖圖18 整體仿真電路3.2元件清單表2 元器件元件名稱型號(hào)數(shù)量/個(gè)用途單片機(jī)AT89C511主控芯片晶振12MHz1晶振電路電容30pF2晶振電路電解電容10uF1復(fù)位電路電阻10k歐1復(fù)位電路開(kāi)關(guān)BUTTON1復(fù)位電路開(kāi)關(guān)BUTTON4選擇輸出集成塊DAC08321D/A轉(zhuǎn)換集成塊LM3582運(yùn)放電路集成塊74LS5731驅(qū)動(dòng)電路數(shù)碼管

23、共陰、藍(lán)色1顯示電路電阻10k歐、7k歐2運(yùn)放電路滑動(dòng)變阻器10k歐1運(yùn)放電路電源+5V1提供電源排阻1k1顯示電路發(fā)光二級(jí)管紅色4顯示電路3 軟件設(shè)計(jì)4.1程序流程圖開(kāi) 始各芯片初始化按鍵按下?s1num+S4按下S3按下S2按下S1按下顯示頻率域波形種類頻率f減少LED顯示LED顯示頻率f增加s1num=0s1num=1s1num=2s1num=3輸出正弦波,LED顯示A2輸出矩形波,LED顯示C2輸出鋸齒波,LED顯示D2輸出三角波,LED顯示B2圖19 程序流程圖 3.2 程序代碼·正弦波的代碼可分為256個(gè)數(shù)值,相應(yīng)時(shí)段付相應(yīng)值,這樣既可產(chǎn)生模擬的正弦波形,代碼如下

24、3;單片機(jī)輸出正弦波三角波方波等信號(hào)的程序如下: /按鍵S1按一下,輸出正弦波/按鍵S1按兩下,輸出三角波 /按鍵S1按三下,輸出方波/ 按鍵S1按4下,輸出鋸齒波·頻率顯示部分:當(dāng)按鍵S4按下后,顯示頻率,頻率計(jì)算公式為T(mén)H0=(65535-temp)/256; TL0=(65535-temp)%256; /temp定義為定時(shí)器定義時(shí)間 f=(int)(1000000/(temp*256); /f為頻率·頻率控制部分:根據(jù)按鍵控制temp的值,頻率就會(huì)增大或減小。4 系統(tǒng)仿真及調(diào)試5.1系統(tǒng)仿真圖正弦波如下圖圖20 仿真正弦波形三角波如下圖所示圖21 仿真三角波形方波波形

25、如下圖所示圖22 仿真方波波形鋸齒波波形如下圖所示圖23 仿真鋸齒波波形實(shí)物波形及頻率,如下圖所示 圖24實(shí)物的顯示圖(左正弦波,42Hz,右三角波,32Hz)5.2系統(tǒng)調(diào)試本次設(shè)計(jì)是函數(shù)信號(hào)發(fā)生器,所以所用元器件盡量與理論的器件相符,這樣是為使誤差盡量減小。我們知道單片機(jī)的硬件調(diào)試與軟件調(diào)試是分不開(kāi)的。信號(hào)沒(méi)有輸出是有很多方面的,許多硬件錯(cuò)誤是可以結(jié)合微調(diào)軟件程序發(fā)現(xiàn)和糾正的。但是,從本次設(shè)計(jì)的調(diào)試過(guò)程中,可以發(fā)現(xiàn)硬件調(diào)試是最為重要和基礎(chǔ)的。因?yàn)槿舸嬖诿黠@的硬件錯(cuò)誤根本就不會(huì)有任何顯示結(jié)果,更別談軟件的調(diào)試了。硬件調(diào)試主要目的是把電路中各參數(shù)值調(diào)整到最設(shè)計(jì)的理想要求。最開(kāi)始就是要先確保設(shè)計(jì)的正確性。其次就是排除小障礙,優(yōu)化電路。參數(shù)值的取舍,短路斷路虛焊等單片機(jī)是本次設(shè)計(jì)的核心,一定要確保其連接的正確性。比如接電源的40腳是否為+5V,晶振是否作用,復(fù)位腳RST等。結(jié)合電路,就容易檢測(cè)出故障。 6 小結(jié)畢業(yè)設(shè)計(jì)是每一個(gè)大學(xué)生根據(jù)自己專業(yè)所學(xué),大學(xué)四年積累的知識(shí)總結(jié)與應(yīng)用。通過(guò)畢業(yè)設(shè)計(jì),鍛煉我們綜合運(yùn)用知識(shí),提出問(wèn)題,分析問(wèn)題,及解決問(wèn)題的能力。特別是理論聯(lián)系實(shí)際。在我認(rèn)為,作為電子專業(yè)類的本科生,掌握單片機(jī)的應(yīng)用及開(kāi)發(fā)技術(shù)是最基本的,也是必要的。單片機(jī)是以后從事相關(guān)嵌入式研發(fā)最為基本的入門(mén)芯片,較FPGA更為低端。所以

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論