基于單片機的高塔水位監(jiān)測報警控制系統(tǒng)設計畢業(yè)論文_第1頁
基于單片機的高塔水位監(jiān)測報警控制系統(tǒng)設計畢業(yè)論文_第2頁
基于單片機的高塔水位監(jiān)測報警控制系統(tǒng)設計畢業(yè)論文_第3頁
基于單片機的高塔水位監(jiān)測報警控制系統(tǒng)設計畢業(yè)論文_第4頁
基于單片機的高塔水位監(jiān)測報警控制系統(tǒng)設計畢業(yè)論文_第5頁
已閱讀5頁,還剩44頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領

文檔簡介

1、株洲師范高等專科學校物理與電子工程系畢業(yè)論文基于單片機交通燈的控制姓名:周超英指導老師:肖利君專業(yè):應用電子技術班級:07級應電班學號:04207114時間:2010-3-5至2010-6-8摘要摘要近年來隨著科技的飛速發(fā)展,單片機的應用正在不斷深入,同時帶動傳統(tǒng)控制檢測技術日益更新。在實時檢測和自動控制的單片機應用系統(tǒng)中,單片機往往作為一個核心部件來使用,僅單片機方面知識是不夠的,還應根據(jù)具體硬件結(jié)構(gòu)軟硬件結(jié)合,加以完善。十字路口車輛穿梭,行人熙攘,車行車道,人行人道,有條不紊。靠的就是交通信號燈的自動指揮系統(tǒng)來實現(xiàn)這井然秩序。交通信號燈控制方式很多。本系統(tǒng)采用MSC-51系列單片機ATSC

2、51和可編程并行I/O接口芯片8255A為中心器件來設計交通燈控制器,實現(xiàn)了能根據(jù)實際車流量通過8051芯片的P1口設置紅、綠燈燃亮時間的功能;紅綠燈循環(huán)點亮,倒計時剩5秒時黃燈閃爍警示(交通燈信號通過PA口輸出,顯示時間直接通過8255的PC口輸出至雙位數(shù)碼管;車輛闖紅燈報警;綠燈時間可檢測車流量并可通過雙位數(shù)碼管顯示。本系統(tǒng)實用性強、操作簡單、擴展功能強。關鍵詞:單片機交通燈闖紅燈檢測車流量AbstractAbstractIn recent years along with technical rapid development, monolithic integrated circuit

3、 application unceasingly thorough, simultaneously leads the traditional control examination technology to renew day by day.In the real-time examination and in the automatic control monolithic integrated circuit application system, the monolithic integrated circuit often took a core part uses, the mo

4、nolithic integrated circuit aspect knowledge is only insufficient, but also should act according to the concrete hardware architecture software and hardware union, consummates.The intersection vehicles shuttle, the pedestrian is bustling, car dealership traffic lane, human sidewalk, methodical.Depen

5、ds on is the street-traffic control lights automatic command system realizes this orderly order.The street-traffic control lights control mode are very many.This system uses MSC-51 series monolithic integrated circuit ATSC51 and programmable parallel I/O connection chip 8255A is the central componen

6、t designs the traffic light controller, realized has been able to act according to the actual traffic flow magnitude to establish, the green light red through 8051 chip P1 mouths burns the bright time the function; The traffic light circulation lightens, the countdown remains when for 5 seconds the

7、yellow light twinkle police shows (traffic light signal through PA mouth output, demonstration time directly through 8255 PC mouth output to two-position nixietube; The vehicles run a red light report to the police; The green light time may examine the traffic flow magnitude and may through the two-

8、position nixietube demonstration.This system usability strong, operation simple, expansion function.Keywords:monolithic integrated circuit traffic light runs a red light the examination traffic flow magnitude目錄目錄摘要 . I ABSTRACT . I I 目錄 . III 第1章緒論. (1第2章總體規(guī)劃方案 (42.1規(guī)劃設計方案 (42.2交通管理的方案論證 (4第3章系統(tǒng)的芯片簡

9、介 (63.1MSC-51芯片簡介 (63.28255芯片簡介 (9第4章系統(tǒng)硬件設計 (154.1系統(tǒng)總框圖設計 (154.2各個模塊電路設計 (16第5章系統(tǒng)的軟件設計 (235.1系統(tǒng)總程序設計 (235.2每秒鐘的設定 (245.3顯示程序設計 (27第6章結(jié)論 (29參考文獻 (30致謝 (31附錄 (32附錄1:總圖 (32附錄2:程序源代碼 (33第1章緒論第1章緒論當今,紅綠燈安裝在各個道口上,已經(jīng)成為疏導交通車輛最常見和最有效的手段。但這一技術在19世紀就已出現(xiàn)了。1858年,在英國倫敦主要街頭安裝了以燃煤氣為光源的紅,藍兩色的機械扳手式信號燈,用以指揮馬車通行。這是世界上最

10、早的交通信號燈。1868年,英國機械工程師納伊特在倫敦威斯敏斯特區(qū)的議會大廈前的廣場上,安裝了世界上最早的煤氣紅綠燈。它由紅綠兩以旋轉(zhuǎn)式方形玻璃提燈組成,紅色表示“停止”,綠色表示“注意”。1869年1月2日,煤氣燈爆炸,使警察受傷,遂被取消。電氣啟動的紅綠燈出現(xiàn)在美國,這種紅綠燈由紅綠黃三色圓形的投光器組成,1914年始安裝于紐約市5號大街的一座高塔上。紅燈亮表示“停止”,綠燈亮表示“通行”。1918年,又出現(xiàn)了帶控制的紅綠燈和紅外線紅綠燈。帶控制的紅綠燈,一種是把壓力探測器安在地下,車輛一接近紅燈便變?yōu)榫G燈;另一種是用擴音器來啟動紅綠燈,司機遇紅燈時按一下嗽叭,就使紅燈變?yōu)榫G燈。紅外線紅綠

11、燈當行人踏上對壓力敏感的路面時,它就能察覺到有人要過馬路。紅外光束能把信號燈的紅燈延長一段時間,推遲汽車放行,以免發(fā)生交通事故。信號燈的出現(xiàn),使交通得以有效管制,對于疏導交通流量、提高道路通行能力,減少交通事故有明顯效果。1968年,聯(lián)合國道路交通和道路標志信號協(xié)定對各種信號燈的含義作了規(guī)定。綠燈是通行信號,面對綠燈的車輛可以直行,左轉(zhuǎn)彎和右轉(zhuǎn)彎,除非另一種標志禁止某一種轉(zhuǎn)向。左右轉(zhuǎn)彎車輛都必須讓合法地正在路口內(nèi)行駛的車輛和過人行橫道的行人優(yōu)先通行。紅燈是禁行信號,面對紅燈的車輛必須在交叉路口的停車線后停車。黃燈是警告信號,面對黃燈的車輛不能越過停車線,但車輛已十分接近停車線而不能安全停車時可

12、以進入交叉路口。本論文是基于單片機的交通燈控制設計。本論文使用單片機的原因是看到單片機的發(fā)展趨勢。單片機微型計算機是微型計算機的一個重要分支,也是頗具生命力的機種。單片機微型計算機簡稱單片機,特別適用于控制領域,故又稱為微控制器。通常,單片機由單塊集成電路芯片構(gòu)成,內(nèi)部包含有計算機的基本功能部件:中央處理器、存儲器和I/O接口電路等。因此,單片機只需要和適當?shù)能浖巴獠吭O備相結(jié)合,便可成為一個單片機控制系統(tǒng)。單片機經(jīng)過1、2、3、3代的發(fā)展,目前單片機正朝著高性能和多品種方向發(fā)展,它們的CPU功能在增強,內(nèi)部資源在增多,引角的多功能化,以及低電壓底功耗。單片機在目前的發(fā)展形勢下,表現(xiàn)出幾大趨勢

13、:可靠性及應用越來越水平高和互聯(lián)網(wǎng)連接已是一種明顯的走向。所集成的部件越來越多;NS(美國國家半導體公司的單片機已把語音、圖象部件也集成到單片機中,也就是說,單片機的意義只是在于單片集成電路,而不在于其功能了;如果從功能上講它可以講是萬用機。原因是其內(nèi)部已集成上各種應用電路。功耗越來越低和模擬電路結(jié)合越來越多。隨著半導體工藝技術的發(fā)展及系統(tǒng)設計水平的提高,單片機還會不斷產(chǎn)生新的變化和進步,最終人們可能發(fā)現(xiàn):單片機與微機系統(tǒng)之間的距離越來越小,甚至難以辨認。所以用單片機將越來越普遍,而且實用性強、操作簡單、擴展功能強。隨著各種交通工具的發(fā)展和交通指揮的需要,第一盞名副其實的三色燈(紅、黃、綠三種

14、標志于1918年誕生。它是三色圓形四面投影器,被安裝在紐約市五號街的一座高塔上,由于它的誕生,使城市交通大為改善。黃色信號燈的發(fā)明者是我國的胡汝鼎,他懷著“科學救國”的抱負到美國深造,在大發(fā)明家愛迪生為董事長的美國通用電器公司任職員。一天,他站在繁華的十字路口等待綠燈信號,當他看到紅燈而正要過去時,一輛轉(zhuǎn)彎的汽車呼地一聲擦身而過,嚇了他一身冷汗?;氐剿奚?他反復琢磨,終于想到在紅、綠燈中間再加上一個黃色信號燈,提醒人們注意危險。他的建議立即得到有關方面的肯定。于是紅、黃、綠三色信號燈即以一個完整的指揮信號家族,遍及全世界陸、海、空交通領域了。中國最早的馬路紅綠燈,是于1928年出現(xiàn)在上海的英租

15、界。從最早的手牽皮帶到20世紀50年代的電氣控制,從采用計算機控制到現(xiàn)代化的電子定時監(jiān)控,交通信號燈在科學化、自動化上不斷地更新、發(fā)展和完善。縱觀交通事業(yè)的發(fā)展歷史,以前,人們出門遠行或運輸東西最常用到的的交通工具是馬車,但是隨著社會的進步經(jīng)濟的發(fā)展,一種新型交通工具汽車隨之問世。如1950年英國陸虎公司推出世界上第一臺采用燃氣渦輪發(fā)動機的汽車,1952年美國通用公司推出“別克”牌小轎車,1955年福特“雷鳥”牌兩座位汽車問世,1956年中國第一汽車制造廠成立,“解放”牌汽車問世。特別是近幾年汽車產(chǎn)業(yè)更是日新月異,2006年全世界汽車總已產(chǎn)量已超過6400萬輛。在汽車產(chǎn)業(yè)蓬勃發(fā)展的背后,隨之而

16、來交通問題也越來越多,如交通堵塞和交通事故時常發(fā)生,這不但給人們的生命和財產(chǎn)造成了威脅,同時還制約著國民經(jīng)濟的發(fā)展,特別是發(fā)達國家和發(fā)展中國家面臨的形勢更是嚴峻。為了緩解交通的負荷量,使車輛運行暢通無阻,交通事業(yè)整嚴有序,國家起用了雙車道交通燈控制系統(tǒng)。通過紅,綠,黃三色燈進行單一的控制,使其遵守紅燈停,綠燈行的原則。但是隨著社會的發(fā)展,此系統(tǒng)已經(jīng)遠遠不能滿足交通控制事業(yè)的需求,需要一種全新的控制理念,進而引進了與我國國情相符合的國外先進的控制體系,多車道交通燈控制系統(tǒng),不但解決以往交通控制系統(tǒng)的局限性,同時還加快車了車流輛速度。并制定了第三十八條明確規(guī)定:綠燈亮時,準許車輛通行,但轉(zhuǎn)彎的車輛

17、不得妨礙被放行的直行車輛、行人通行,黃燈亮時,已越過停止線的車輛可以繼續(xù)通行,紅燈亮時,禁止車輛通行。隨著社會的進步和科技的發(fā)展,交通工具設備也日益劇增,從而有序的交通秩序也越來越重要,單片機的應用也日益廣泛。本論文用三端橋式整流電路將220V交流電轉(zhuǎn)變?yōu)榉€(wěn)定、可靠,高效率的5V直流電源。而此交通路口采用的是8051單片機來控制,8051單片機包含中央處理器、程序存儲器(ROM、數(shù)據(jù)存儲器(RAM、定時/計數(shù)器、并行接口、串行接口和中斷系統(tǒng)等幾大單元及數(shù)據(jù)總線、地址總線和控制總線等三大總線,而中斷系統(tǒng)來實現(xiàn)實時控制、故障自動處理、計算機與外圍設備的數(shù)據(jù)傳送。使CPU能夠具有應變的功能,能夠秩序

18、的工作,從而提高了工作效率和系統(tǒng)的可靠性。同時也為了系統(tǒng)穩(wěn)定可靠采用了MAX629“看門狗”芯片,避免了系統(tǒng)因為死機而停止工作的情況發(fā)生;顯示時間直接通過8255的PA、PB口輸出;交通燈信號通過PC口輸出;交通燈的點亮采用VT雙向晶閘管來控制。第2章 總體規(guī)劃方案2.1 規(guī)劃設計方案根據(jù)此路口的車流量:由于東西方向比南北方向的車流量要大,所以在東西方向的綠燈時間較長設為80s ,南北方向的紅燈設為60s ,黃燈時間為5s 。以最大限度的提高交通疏通的可靠系數(shù)。2.2 交通管理的方案論證圖2.1 十字路口圖首先了解實際交通燈的變化情況和規(guī)律。假設一個十字路口如圖2.1所示,為東南西北走向。初始

19、狀態(tài)0為東西南北都紅燈亮。然后轉(zhuǎn)狀態(tài)1東西綠燈通車,南北紅燈亮。過一段時間后,轉(zhuǎn)狀態(tài)2,東西綠燈滅,黃燈閃幾下,南北還是紅燈。再轉(zhuǎn)狀態(tài)3,南北綠燈通車,東西紅燈亮。過一段時間后轉(zhuǎn)狀態(tài)4,南北綠燈滅,閃幾個黃燈,東西還是為紅燈亮,一段時間后,又循環(huán)至狀態(tài)1。列出交通信號燈的狀態(tài)表如表2.1所示:(其中,1代表燈亮,0代表燈滅人行道人行道人行道人行道狀態(tài)北西南東綠黃紅綠黃紅綠黃紅綠黃紅0 0010010010011 0011000011002 0010100010104 010*表2.1東西、南北兩干道交于一個十字路口,各干道有一組紅、黃、綠三色的指示燈,指揮車輛和行人安全通行。紅燈亮禁止通行,綠

20、燈亮允許通行。黃燈亮提示人們注意紅、綠燈的狀態(tài)即將切換,且黃燈燃亮時間為東西、南北兩干道的公共停車時間。設東西道比南北道的車流量大,指示燈燃亮的方案如表2.2。60S 5S 80S 5S 東西道紅燈亮黃燈亮綠燈亮黃燈亮南北道綠燈亮黃燈亮紅燈亮黃燈亮表2.2表2.2說明:(1當東西方向為紅燈,此道車輛禁止通行,東西道行人可通過;南北道為綠燈,此道車輛通過,行人禁止通行。時間為60秒。(2黃燈閃爍5秒,警示車輛和行人紅、綠燈的狀態(tài)即將切換。(3當東西方向為綠燈,此道車輛通行;南北方向為紅燈,南北道車輛禁止通過,行人通行。時間為80秒。東西方向車流大通行時間長。(4這樣如上表的時間和紅、綠、黃出現(xiàn)的

21、順序依次出現(xiàn)這樣行人和車輛就能安全暢通的通行。(5此表可根據(jù)車流量動態(tài)設定紅綠燈初始值。第3章系統(tǒng)的芯片簡介第3章系統(tǒng)的芯片簡介3.1 MSC-51芯片簡介8051是MCS-51系列單片機的典型產(chǎn)品,我們以這一代表性的機型進行系統(tǒng)的講解。8051單片機包含中央處理器、程序存儲器(ROM、數(shù)據(jù)存儲器(RAM、定時/計數(shù)器、并行接口、串行接口和中斷系統(tǒng)等幾大單元及數(shù)據(jù)總線、地址總線和控制總線等三大總線,現(xiàn)在我們分別加以說明:中央處理器:中央處理器(CPU是整個單片機的核心部件,是8位數(shù)據(jù)寬度的處理器,能處理8位二進制數(shù)據(jù)或代碼,CPU負責控制、指揮和調(diào)度整個單元系統(tǒng)協(xié)調(diào)的工作,完成運算和控制輸入輸

22、出功能等操作。數(shù)據(jù)存儲器(RAM8051內(nèi)部有128個8位用戶數(shù)據(jù)存儲單元和128個專用寄存器單元,它們是統(tǒng)一編址的,專用寄存器只能用于存放控制指令數(shù)據(jù),用戶只能訪問,而不能用于存放用戶數(shù)據(jù),所以,用戶能使用的RAM只有128個,可存放讀寫的數(shù)據(jù),運算的中間結(jié)果或用戶定義的字型表。圖3.1 8051內(nèi)部結(jié)構(gòu)程序存儲器(ROM:8051共有4096個8位掩膜ROM ,用于存放用戶程序,原始數(shù)據(jù)或表格。定時/計數(shù)器(ROM:8051有兩個16位的可編程定時/計數(shù)器,以實現(xiàn)定時或計數(shù)產(chǎn)生中斷用于控制程序轉(zhuǎn)向。并行輸入輸出(I/O口:8051共有4組8位I/O 口(P0、 P1、P2或P3,用于對外部

23、數(shù)據(jù)的傳輸。全雙工串行口:8051內(nèi)置一個全雙工串行通信口,用于與其它設備間的串行數(shù)據(jù)傳送,該串行口既可以用作異步通信收發(fā)器,也可以當同步移位器使用。中斷系統(tǒng):8051具備較完善的中斷功能,有兩個外中斷、兩個定時/計數(shù)器中斷和一個串行中斷,可滿足不同的控制要求,并具有2級的優(yōu)先級別選擇。時鐘電路:8051內(nèi)置最高頻率達12MHz 的時鐘電路,用于產(chǎn)生整個單片機運行的脈沖時序,但8051單片機需外置振蕩電容。單片機的結(jié)構(gòu)有兩種類型,一種是程序存儲器和數(shù)據(jù)存儲器分開的形式,即哈佛8051程序存儲器數(shù)據(jù)存儲器 定時計數(shù)器 中斷系統(tǒng) 串行通信口并行I/O 口 時鐘數(shù)據(jù)總線地址總線 控制 總線(Harv

24、ard結(jié)構(gòu),另一種是采用通用計算機廣泛使用的程序存儲器與數(shù)據(jù)存儲器合二為一的結(jié)構(gòu),即普林斯頓(Princeton結(jié)構(gòu)。INTEL的MCS-51系列單片機采用的是哈佛結(jié)構(gòu)的形式,而后續(xù)產(chǎn)品16位的MCS-96系列單片機則采用普林斯頓結(jié)構(gòu)。MCS-51系列單片機中的8031、8051及8751均采用40Pin封裝的雙列直接DIP結(jié)構(gòu),右圖是它們的引腳配置,40個引腳中,正電源和地線兩根,外置石英振蕩器的時鐘線兩根,4組8位共32個I/O口,中斷口線與P3口線復用?,F(xiàn)在我們對這些引腳的功能加以說明:MCS-51的引腳說明:MCS-51系列單片機中的8031、8051及8751均采用40Pin封裝的雙

25、列直接DIP結(jié)構(gòu),右圖是它們的引腳配置,40個引腳中,正電源和地線兩根,外置石英振蕩器的時鐘線兩根,4組8位共32個I/O口,中斷口線與P3口線復用?,F(xiàn)在我們對這些引腳的功能加以說明:如圖3.2 圖3.2 引腳圖Pin9:RESET/V復位信號復用腳,當8051通電,時鐘電路開始工作,在RESET引腳pd上出現(xiàn)24個時鐘周期以上的高電平,系統(tǒng)即初始復位。初始化后,程序計數(shù)器PC指向0000H,P0-P3輸出口全部為高電平,堆棧指針寫入07H,其它專用寄存器被清“0”。RESET由高電平下降為低電平后,系統(tǒng)即從0000H地址開始執(zhí)行程序。然而,初始復位不改變RAM(包括工作寄存器R0-R7的狀態(tài)

26、,8051的初始態(tài)。8051的復位方式可以是自動復位,也可以是手動復位,見下圖3.3。此外,RESET/Vpd 掉電其間,此腳可接上備用電源,以保證單片機內(nèi)部RAM的數(shù)據(jù)不丟還是一復用腳,Vcc失。 圖3.3 復位方式圖Pin30:ALE/當訪問外部程序器時,ALE(地址鎖存的輸出用于鎖存地址的低位字節(jié)。而訪問內(nèi)部程序存儲器時,ALE端將有一個1/6時鐘頻率的正脈沖信號,這個信號可以用于識別單片機是否工作,也可以當作一個時鐘向外輸出。更有一個特點,當訪問外部程序存儲器,ALE會跳過一個脈沖。如果單片機是EPROM,在編程其間,將用于輸入編程脈沖。Pin29:當訪問外部程序存儲器時,此腳輸出負脈

27、沖選通信號,PC的16位地址數(shù)據(jù)將出現(xiàn)在P0和P2口上,外部程序存儲器則把指令數(shù)據(jù)放到P0口上,由CPU讀入并執(zhí)行。程序存儲器的內(nèi)外部選通線,8051和8751單片機,內(nèi)置有4kB的Pin31:EA/Vpp程序存儲器,當EA為高電平并且程序地址小于4kB時,讀取內(nèi)部程序存儲器指令數(shù)據(jù),而超過4kB地址則讀取外部指令數(shù)據(jù)。如EA為低電平,則不管地址大小,一律讀取外部程序存儲器指令。顯然,對內(nèi)部無程序存儲器的8031,EA端必須接地。腳還需加上21V的編程電壓。在編程時,EA/Vpp3.2 8255芯片簡介8255A 的內(nèi)部結(jié)構(gòu)框圖和引腳圖如圖3.4所示,由以下幾個部分組成: 圖3.4 8255的

28、內(nèi)部結(jié)構(gòu)圖數(shù)據(jù)總線緩沖器:8位雙向三態(tài),它是8255A和CPU之間的數(shù)據(jù)接口。I/O的數(shù)據(jù)、CPU輸出的控制字以及CPU輸入的狀態(tài)信息都是通過這個緩沖器傳送的,數(shù)據(jù)總線緩沖器的8根數(shù)據(jù)線D7D0一般與8086CPU低8位數(shù)據(jù)線相連。并行I/O端口(A、B、C口:除C口輸入沒有鎖存器外,其余A口、B口輸入輸出都有緩沖器和鎖存器,C口輸出也都有緩沖器和鎖存器,C口輸入只有緩沖器。通常A口和B口作為獨立工作的I/O數(shù)據(jù)端口,C口作為控制或狀態(tài)信息端口。在方式控制字控制下,C口可以分成兩個4位端口,每個端口包含一個4位鎖存器,分別與A口和B口配合使用,作為與外設之間的聯(lián)絡信號和存放接口電路當前的狀態(tài)信

29、息。A組和B組控制電路:A組:A口和C口上半部;B組:B口和C口下半部。A組控制和B組控制結(jié)合,組成控制字寄存器,接收CPU寫入的方式控制字和對C 口按位置位/復位控制字。8255可編程并行接口芯片有三個輸入輸出端口,即A口、B口和C口,對應于引腳PA7PA0、PB7PB0和PC7PC0。其內(nèi)部還有一個控制寄存器,即控制口。通常A口、B口作為輸入輸出的數(shù)據(jù)端口。C口作為控制或狀態(tài)信息的端口,它在方式字的控制下,可以分成4位的端口,每個端口包含一個4位鎖存器。它們分別與端口A/B配合使用,可以用作控制信號輸出或作為狀態(tài)信號輸入。8255可編程并行接口芯片方式控制字格式說明:8255有兩種控制命令

30、字;一個是方式選擇控制字;另一個是C口按位置位/復位控制字。其中C口按位置位/復位控制字方式使用較為繁難,說明也較冗長.方式控制字格式說明如表3.1:D7 D6 D5 D4 D3 D2 D1 D0表3.1D7:設定工作方式標志,1有效。D6、D5:A口方式選擇0 0 方式00 1 方式11 方式2D4:A口功能(1=輸入,0=輸出D3:C口高4位功能(1=輸入,0=輸出D2:B口方式選擇(0=方式0,1=方式1D1:B口功能(1=輸入,0=輸出D0:C口低4位功能(1=輸入,0=輸出8255可編程并行接口芯片工作方式說明:方式0:基本輸入/輸出方式。適用于三個端口中的任何一個。每一個端口都可以

31、用作輸入或輸出。輸出可被鎖存,輸入不能鎖存。方式1:選通輸入/輸出方式。這時A口或B口的8位外設線用作輸入或輸出,C 口的4條線中三條用作數(shù)據(jù)傳輸?shù)穆?lián)絡信號和中斷請求信號。方式2:雙向總線方式。只有A口具備雙向總線方式,8位外設線用作輸入或輸出,此時C口的5條線用作通訊聯(lián)絡信號和中斷請求信號。3.3 74LS373簡介74LS373 是一種帶三態(tài)門的8D鎖存器,其管腳示意圖如圖3.5所示: 其中:1D-8D為8個輸入端。1Q-8Q為8個輸出端。LE為數(shù)據(jù)打入端:當LE為“1”時,鎖存器輸出狀態(tài)同輸入狀態(tài);當LE由“1”變“0”時,數(shù)據(jù)打入鎖存器OE為輸出允許端:當OE=0時,三態(tài)門打開;當OE

32、=1時,三態(tài)門關閉,輸出高阻。圖3.5 74LS373管腳示意圖單片機系統(tǒng)中常用的地址鎖存器芯片74LS373以及coms的74hc373。是帶三態(tài)緩沖輸出的8D觸發(fā)器,其引腳圖如圖3.6所示: 圖3.6 74ls373引腳圖(1.1腳是輸出使能(OE,是低電平有效,當1腳是高電平時,不管輸入3、4、7、8、13、14、17、18如何,也不管11腳(鎖存控制端,G如何,輸出2(Q0、5(Q1、6(Q2、9(Q3、12(Q4、15(Q5、16(Q6、19(Q7全部呈現(xiàn)高阻狀態(tài)(或者叫浮空狀態(tài);(2.當1腳是低電平時,只要11腳(鎖存控制端,G上出現(xiàn)一個下降沿,輸出2(Q0、5(Q1、6(Q2、9

33、(Q3、12(Q4、15(Q5、16(Q6、19(Q7立即呈現(xiàn)輸入腳3、4、7、8、13、14、17、18的狀態(tài).鎖存端LE 由高變低時,輸出端8 位信息被鎖存,直到LE 端再次有效。當三態(tài)門使能信號OE為低電平時,三態(tài)門導通,允許Q0Q7輸出,OE為高電平時,輸出懸空。當74LS373用作地址鎖存器時,應使OE為低電平,此時鎖存使能端C為高電平時,輸出Q0Q7 狀態(tài)與輸入端D1D7狀態(tài)相同;當C發(fā)生負的跳變時,輸入端D0D7 數(shù)據(jù)鎖入Q0Q7。51單片機的ALE信號可以直接與74LS373的C連接。E G 功能0 0 直通Qi = Di0 1 保持(Qi保持不變1 X 輸出高阻表3.2 74

34、LS373功能表E G D QL H H HL H L LL L X Q上表3.2是74LS373的真值表,表中:L低電平;H高電平;X不定態(tài);Q0建立穩(wěn)態(tài)前Q的電平;G輸入端,與8031ALE連高電平:暢通無阻低電平:關門鎖存。圖中OE使能端,接地。當G=“1”時,74LS373輸出端1Q8Q與輸入端1D8D相同;當G為下降沿時,將輸入數(shù)據(jù)鎖存。74ls373是常用的地址鎖存器芯片,它實質(zhì)是一個是帶三態(tài)緩沖輸出的8D觸發(fā)器,在單片機系統(tǒng)中為了擴展外部存儲器,通常需要一塊74ls373芯片,3.4 MAX692簡介MAX692是微系統(tǒng)監(jiān)控電路芯片,具有后備電池切換、掉電判別、看門狗監(jiān)控等功能。

35、其封裝和引腳說明如圖3.7所示。VOUT VBATTVCC / RESETGND WDI PFI /PFD圖 3.7 MAX692封裝和引腳 VOUT :電源輸出引腳。VCC :接電源引腳,電源供電3.05.5V 。 GND :接地。 PFI :電池故障輸入。 /PFO :電池故障輸出。 WDI :監(jiān)視器輸入引腳。/RESET :復位輸出引腳,低電平有效。 VBATT :后備電池輸入端。MAX692 12 3456 7 8第4章 系統(tǒng)硬件設計4.1 系統(tǒng)總框圖設計圖4.1 系統(tǒng)總框圖(1開關鍵盤輸入交通燈初始時間,通過8051單片機P1輸入到系統(tǒng) (2由8051單片機的定時器每秒鐘通過P0口向

36、8255的數(shù)據(jù)口送信息,由8255的PA 口顯示紅、綠、黃燈的燃亮情況;由8255的PC 口顯示每個燈的燃亮時間。(3通過8031設置各個信號等的燃亮時間,綠、紅時間分別為60秒、80秒 環(huán)由8051的 P0口向8255的數(shù)據(jù)口輸出。(4通過8051單片機的P3.0位來控制系統(tǒng)是工作或設置初值,當.牌位0就對系統(tǒng)進行初始化,為1系統(tǒng)就開始工作。8051 系 統(tǒng) 處 理鎖存鍵盤設置 時間參數(shù)8255A 擴展 CPU 的 并行 接口顯示 時間交通燈紅燈報警及 記錄車流量紅外對管檢測 信號輸入 看門狗 MAX692片選(5紅燈倒計時時間,當有車輛闖紅燈時,啟動蜂鳴器進行報警,3S后然后恢復正常。(6

37、增加每次綠燈時間車流量檢測的功能,并且通過查詢P2.0端口的電平是否為低,開關按下為低電平,雙位數(shù)碼管顯示車流量,直到下一次綠燈時間重新記入。(7綠燈時間倒計時完畢,重新循環(huán)。4.2各個模塊電路設計選用設備:8051彈片機一片,8255并行通用接口芯片一片,74LS07兩片, MAX692看門狗一片,共陰極的七段數(shù)碼管兩個雙向晶閘管若干,7805三端穩(wěn)壓電源一個,紅、黃、綠交通燈各兩個,開關鍵盤、連線若干。鍵盤是單片機應用系統(tǒng)的一個重要輸入設備,用于輸入數(shù)據(jù)、干預系統(tǒng)的工作狀態(tài);1.單片機上的按鍵單片機系統(tǒng)中最常見的是觸點式開關按鍵,這些按鍵的連接方式,可分為獨立式按鍵和行列式鍵盤。觸點式按鍵

38、在按下或釋放時,由于機械彈性作用的影響,通常伴隨有一定時間的觸點機械抖動,然后其觸點才穩(wěn)定下來。其抖動過程如圖所示,抖動時間的長短與開關的機械特性有關,一般為5 10 ms。在觸點抖動期間檢測按鍵的通與斷狀態(tài),可能導致判斷出錯,即按鍵一次按下或釋放被錯誤地認為是多次操作,這種情況是不允許出現(xiàn)的。為了克服按鍵觸點機械抖動所致的檢測誤判,必須采取去抖動措施。這一點可從硬件、軟件兩方面予以考慮。在鍵數(shù)較少時,可采用硬件去抖,而當鍵數(shù)較多時,采用軟件去抖。 圖4.2 去抖動電路在硬件上可采用在鍵輸出端加R-S觸發(fā)器(雙穩(wěn)態(tài)觸發(fā)器或單穩(wěn)態(tài)觸發(fā)器構(gòu)成去抖動電路。圖4.2是一種由R-S觸發(fā)器構(gòu)成的去抖動電路

39、,當觸發(fā)器一旦翻轉(zhuǎn),觸點抖動不會對其產(chǎn)生任何影響。2.電路工作過程按鍵未按下時,a = 0,b = 1,輸出Q = 1。按鍵按下時,因按鍵的機械彈性作用的影響,使按鍵產(chǎn)生抖動。當開關沒有穩(wěn)定到達b端時,因與非門2輸出為0反饋到與非門1的輸入端,封鎖了與非門1,雙穩(wěn)態(tài)電路的狀態(tài)不會改變,輸出保持為1,輸出Q 不會產(chǎn)生抖動的波形。 圖4.3 鍵盤輸出電路當開關穩(wěn)定到達b端時,因a = 1,b = 0,使Q = 0,雙穩(wěn)態(tài)電路狀態(tài)發(fā)生翻轉(zhuǎn)。當釋放按鍵時,在開關未穩(wěn)定到達a端時,因Q = 0,封鎖了與非門2,雙穩(wěn)態(tài)電路的狀態(tài)不變,輸出Q保持不變,消除了后沿的抖動波形。當開關穩(wěn)定到達a端時,因a = 0

40、,b = 1,使Q = 1,雙穩(wěn)態(tài)電路狀態(tài)發(fā)生翻轉(zhuǎn),輸出Q重新返回原狀態(tài)。由此可見,鍵盤輸出經(jīng)雙穩(wěn)態(tài)電路之后,輸出已變?yōu)橐?guī)范的矩形方波。3.行列式鍵盤接口電路單片機系統(tǒng)中,若使用按鍵較多時,通常采用行列式(也稱行列式鍵盤。用I/O 口線組成行、列結(jié)構(gòu),按鍵設置在行列的交點上。例如用22的行、列可構(gòu)成4個鍵的鍵盤,44的行列結(jié)構(gòu)可構(gòu)成16個鍵的鍵盤。因此,在按鍵數(shù)量較多時,可以節(jié)省I/O口線。4.中斷掃描方式單片機應用系統(tǒng)工作時,并非經(jīng)常需要鍵盤輸入,因此,CPU經(jīng)常處于空掃描狀態(tài)。為提高CPU工作效率,可采用中斷掃描工作方式。其工作過程如下:當無鍵按下時,CPU 處理自己的工作,當有鍵按下時,

41、產(chǎn)生中斷請求,CPU轉(zhuǎn)去執(zhí)行鍵盤掃描子程序,并識別鍵號。 圖4.4 鍵盤接口電路圖4.4是一種簡易鍵盤接口電路,該鍵盤是由8051 P1口的高、低字節(jié)構(gòu)成的44鍵盤。鍵盤的列線與P1口的高4位相連,鍵盤的行線與P1口的低4位相連,因此,P1.4P1.7是鍵輸出線,P1.0P1.3是掃描輸入線。圖4.4中的4輸入與門用于產(chǎn)生按鍵中斷,其輸入端與各列線相連,再通過上拉電阻接至+5V電源,輸出端接至8051的外部中斷輸入端。具體工作如下:當鍵盤無鍵按下時,與門各輸入端均為高電平,保持輸出端為高電平;當有鍵按下時,INT0端為低電平,向CPU申請中斷,若CPU開放外部中斷,則會響應中斷請求,轉(zhuǎn)去執(zhí)行鍵

42、盤掃描子程序。由于單片機自身的抗干擾能力比較差,尤其在一些條件比較惡劣、噪聲大的場合,常會出現(xiàn)單片機因為受外界干擾而導致死機的現(xiàn)象,造成系統(tǒng)不能正常工作。設置看門狗是為了防止單片機死機、提高單片機系統(tǒng)抗干擾性的一種重要途徑。一個完整的單片機應用系統(tǒng)應該是一個軟、硬件的結(jié)合體。系統(tǒng)正常工作時,會受到各種外界干擾因素的影響。這種外界干擾輕者導致系統(tǒng)內(nèi)部數(shù)據(jù)出錯,重者將嚴重影響程序的運行。因此單片機應用系統(tǒng)的開發(fā)一定要考慮系統(tǒng)可靠性的設計,以滿足系統(tǒng)在現(xiàn)場苛刻環(huán)境下的正常運行,而“看門狗”則是系統(tǒng)可靠性設計的重要一環(huán)。在一個單片機應用系統(tǒng)中,所謂的“看門狗”是指在系統(tǒng)設計中通過軟件或硬件方式在一定的

43、周期內(nèi)監(jiān)控單片機或其他CPU的運行情況。如果在規(guī)定的時間內(nèi)沒有收到來自單片機或其他CPU的觸發(fā)信號,則系統(tǒng)會強制復位,以保證系統(tǒng)在受到干擾時仍能夠維持正常的工作狀態(tài)。在單片機系統(tǒng)中,看門狗的設計一般采用硬件和軟件結(jié)合兩種方式。硬件看門狗專用硬件看門狗是指一些集成化的或集成在單片機內(nèi)的專用看門狗電路,它實際上是一個特殊的定時器,當定時時間到時,發(fā)出溢出脈沖。從實現(xiàn)角度上看,該方式是一種軟件與片外專用電路相結(jié)合的技術,硬件電路連接好后,在程序中適當?shù)夭迦胍恍┛撮T狗復位的指令,保證程序正常運行時看門狗不溢出。而當程序運行異常時,看門狗超時發(fā)出溢出脈沖,通過單片機的RESET引腳使單片機復位。這種方式

44、中,看門狗能否可靠有效地工作,與硬件組成及軟件的控制策略都有密切的關系。目前常用的集成看門狗電路很多,如MAX705708、MAX813L、X5043/5045等??撮T狗電路可以分為內(nèi)看門狗和外看門狗。看門狗電路是指看門狗的硬件電路包含在單片機內(nèi)部,如Microchip的16C5x系列,MOTOROLA的68C05系列,51內(nèi)核中比較典型的有Atmel公司的AT89C55WD、AT89S8252,Winbond公司的W77E58,SST公司的SST89C58以及Philips公司87系列的多種型號的單片機等。對于沒有看門狗定時器的單片機或是認為內(nèi)部看門狗不可靠時,可以采用外部看門狗定時器。外部

45、看門狗電路既可以用專用看門狗芯片,也可由普通芯片實現(xiàn)。MAX692在本文的單片機系統(tǒng)中的具體使用方法和電路原理圖如圖4.5所示。如圖4.5所示,其中WDI是看門狗監(jiān)測輸入腳,接到CPU的一個專用I/O口或一個總線上。/RESET是復位信號輸出腳,接到CPU的復位輸入腳。MAX692的WDI定時周期是1.6s,復位脈沖寬度是200ms。如果WDI保持高或低超過看門狗定時周期(1.6s,/RESET端將發(fā)生200ms的負脈沖使CPU復位。 4.5 MAX692電路原理圖顯示器是最常見的輸出設備。特別是發(fā)光二極管(LED和液晶顯示器(LCD,由于結(jié)構(gòu)簡單、價格便宜、接口容易,得到廣泛的應用,尤其在單

46、片機系統(tǒng)中大量使用?,F(xiàn)在簡單介紹發(fā)光二極管。發(fā)光顯示器是單片機應用產(chǎn)品中常用的廉價輸出設備。它是由若干個發(fā)光二級管組成的,但發(fā)光二級管導通時,相應的一個點或一個比劃發(fā)光,控制不同組合的二級管導通,就顯示出各種字符。點亮顯示器有靜態(tài)和動態(tài)兩種方法。所謂靜態(tài)顯示,就是顯示器顯示某個字符時,相應的發(fā)光二極管恒定的導通或截止。例如,七段顯示器的a,b,c,d,e,f導通,g截止,則顯示0.這種顯示器方式,每一位都需要一個8位輸出口控制,所以占用硬件多,一般用于顯示器為數(shù)較小(很少的場合。當位數(shù)較多時,用靜態(tài)顯示所需的I/O口太多,一般采用動態(tài)顯示方法。所謂動態(tài)顯示就是一位一位的輪流點亮各位顯示器(掃描

47、,對于每一位顯示器來說,每隔一段時間點亮一次。顯示器的點亮既跟點亮時的導通電流有關,也跟點亮時間和間隔時間的比例有關。調(diào)整電流和時間的參數(shù),可實現(xiàn)亮度較高較穩(wěn)定的顯示。若顯示器的位數(shù)不大于8位,則控制顯示器公共極電位只需一個I/O口(稱掃描口,控制各位顯示器的字形也需一個8位口。 圖4.6 數(shù)碼管顯示圖 圖4.7晶閘管和數(shù)碼管要是行人能看見信號燈的情況,必須把8255輸出的信號進行放大VT為雙向晶閘管,當門極為高電平時晶閘管導通,該支路指示燈亮;當門極為低電平時晶閘管管斷,該支路指示燈滅。要求每個方向有三盞燈,分別為紅、黃、綠,配以紅、黃、綠三組時間到計時顯示。每個方向的綠黃燈的定時時間可以預

48、設,一個方向綠燈、黃燈亮時,另一個方向紅燈亮。每盞燈順序點亮,循環(huán)往復,每個方向順序為綠燈、黃燈、紅燈。交通燈的運行狀態(tài)共有四種,分別為:東西方向綠燈亮、東西方向黃燈亮、南北方向綠燈亮和南北方向黃燈亮。在東西方向綠燈和黃燈亮時,南北方向紅燈亮,并且紅燈的倒計初始值為綠燈的倒計初始值和黃燈的倒計初始值之和。交通燈電路的具體運行狀態(tài)框圖如圖4.8所示: 圖4.8 運動狀態(tài)框圖時間及信號燈的顯示1. 8051并行口的擴展8051雖然有4個8位I/O端口,但真正能提供借用的只有P1口,因為P2和P0口通常用于傳送外部傳送地址和數(shù)據(jù),P3口也有它的第二功能。因此,8051通常需要擴展。由于我們用外部輸入

49、設定紅綠燈倒計時初值、數(shù)碼管的輸出顯示、紅綠黃信號燈的顯示都要用到一個I/O端口,顯然8051的端口是不夠,需要擴展。擴展的方法有兩種:(1借用外部RAM地址來擴展I/O端口;(2采用I/O接口新片來擴充。我們用8255并行接口信片來擴展I/O端口。2. 8255PA口輸出信號接信號燈:由于發(fā)光二極管為共陽極接法,輸出端口為低電平,對應的二極管發(fā)光,所以可以用置位方法點亮紅,綠,黃發(fā)光二極管。第5章系統(tǒng)的軟件設計5.1 系統(tǒng)總程序設計 圖5.1 程序總流程圖主程序中放了一個按鍵的判斷指令,當有鍵按下的時候,程序就跳到按鍵子程序處理,當檢測到K2鍵按下的時候就自動返回到主程序。按緊急預按鍵K5后

50、,先出現(xiàn)全紅狀態(tài),再按下該鍵,就出現(xiàn)一方通行狀態(tài),再按,則另一方通行,如此循環(huán)。按手動控制鍵后,進行手動控制,每按一次,燈會轉(zhuǎn)到下一個狀態(tài)。按恢復鍵對上兩者進行恢復到正常狀態(tài)。R4 存放黃燈時間 5 03HR5 存放紅燈時間 60 3CHR6 存放綠燈時間 80 50HPC0 顯示黃燈信號PC1 顯示紅燈信號PC2 顯示黃燈信號8255 工作于方式08255 PA、PB、 PC口輸出 PC 控制字為10000000B (80H5.2每秒鐘的設定延時方法可以有兩種一中是利用MCS-51內(nèi)部定時器才生溢出中斷來確定1秒的時間,另一種是采用軟延時的方法。定時器工作時必須給計數(shù)器送計數(shù)器初值,這個值是

51、送到TH和TL中的。他是以加法記數(shù)的,并能從全1到全0時自動產(chǎn)生溢出中斷請求。因此,我們可以把計數(shù)器記滿為零所需的計數(shù)值設定為C和計數(shù)初值設定為TC 可得到如下計算通式:TC=M-C式中,M為計數(shù)器摸值,該值和計數(shù)器工作方式有關。在方式0時M為213 ;在方式1時M的值為216;在方式2和3為28T=(M-TCT計數(shù)或TC=M-T/T計數(shù)T計數(shù)是單片機時鐘周期TCLK的12倍;TC為定時初值如單片機的主脈沖頻率為TCLK12MHZ,經(jīng)過12分頻方式0TMAX=213 *1微秒=8.192毫秒方式1TMAX=216 *1微秒=65.536毫秒顯然1秒鐘已經(jīng)超過了計數(shù)器的最大定時間,所以我們只有采

52、用定時器和軟件相結(jié)合的辦法才能解決這個問題.我們采用在主程序中設定一個初值為20的軟件計數(shù)器和使T0定時50毫秒.這樣每當T0到50毫秒時CPU就響應它的溢出中斷請求,進入他的中斷服務子程序。在中斷服務子程序中,CPU先使軟件計數(shù)器減1,然后判斷它是否為零。為零表示1秒已到可以返回到輸出時間顯示程序。(1主程序定時器需定時50毫秒,故T0工作于方式1。初值:TC=M-T/ T計數(shù)=216-50ms/1us=15536=3CBOHORG 1000HSTART: MOV TMOD, #01H ; 令TO為定時器方式1MOV TH0, #3CH ;裝入定時器初值MOV TL0, #BOH ;MOV

53、IE, #82H ;開T0中斷SEBT T R O ;啟動T0計數(shù)器MOV RO, #14H ;軟件計數(shù)器賦初值LOOP: SJMP $ ;等待中斷(2中斷服務子程序ORG000BHAJMP BRT0ORG00BHBRTO:DJNZ R0,NEXTAJMP TIME ; 跳轉(zhuǎn)到時間及信號燈顯示子程序DJNZ:MOV RO,#14H;恢復R0值MOV TH0, #3CH ;重裝入定時器初值MOV TL0, #BOH ;MOV IE, #82HRET1ENDMCS-51的工作頻率為2-12MHZ,我們選用的8051單片機的工作頻率為6MHZ。機器周期與主頻有關,機器周期是主頻的12倍,所以一個機器

54、周期的時間為12*(1/6M =2us。我們可以知道具體每條指令的周期數(shù),這樣我們就可以通過指令的執(zhí)行條數(shù)來確定1秒的時間。具體的延時程序分析:DELAY:MOV R4,#08H 延時1秒子程序DE2:LCALL DELAY1DJNZ R4,DE2RETDELAY1:MOV R6,#0 延時125ms 子程序MOV R5,#0DE1: DJNZ R5,$DJNZ R6,DE1RETMOV RN,#DATA字節(jié)數(shù)數(shù)為2,機器周期數(shù)為1,所以此指令的執(zhí)行時間為2msDELAY1為一雙重循環(huán),循環(huán)次數(shù)為256*256=65536,所以延時時間=65536*2=131072us 約為125usDELA

55、Y R4設置的初值為8,主延時程序循環(huán)8次,所以125us*8= 1秒由于單片機的運行速度很快其他的指令執(zhí)行時間可以忽略不計。5.3顯示程序設計當定時器定時為1秒,時程序跳轉(zhuǎn)到時間顯示及信號燈顯示子程序,它將依次顯示信號燈時間,同時一直顯示信號燈的顏色,這時在返回定時子程序定時一秒,在顯示黃燈的下一個時間,這樣依次把所有的燈色的時間顯示完后在重新給時間計數(shù)器賦初值,重新進入循環(huán)。LED 燈的顯示原理:通過同名管腳上所加電平的高低來控制發(fā)光二極管是否點量而顯示不同的字形如 SP,g,f,e,d,c,b,a 管角上加上7FH所以SP上為0伏,不亮其余為TTL高電平,全亮則顯示為8采用共陰級連接:其中 PC0PB0-a,PC1PB1-b,PC2PB2-c,PC3PB3-d,PC4PB4-e,PC5PB5-f,PC6PB6-gPC7PB7 -SP接地顯示數(shù)值dop

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論