《EDA基礎課程設計》教學大綱_第1頁
《EDA基礎課程設計》教學大綱_第2頁
《EDA基礎課程設計》教學大綱_第3頁
全文預覽已結束

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、EDA基礎課程設計教學大綱大綱執(zhí)筆人:肖鴻 大綱審核人:課程編號:08092J0015 環(huán)節(jié)類別:課程設計 英文名稱:Course Design for Foundation of EDA Techndogy學 分:2總 周 數(shù):2周適用專業(yè): 電子信息工程專業(yè)三年級或四年級本科學生。先修課程:數(shù)字電子技術、微機原理及接口技術。一、目的EDA基礎課程設計(注:EDA即電子設計自動化,Electronics Design Automation)是繼模擬電子技術基礎、數(shù)字電子技術基礎、電子技術基礎實驗課程后,電氣類、自控類和電子類等專業(yè)學生在電子技術實驗技能方面綜合性質的實驗訓練課程,是電子技術基

2、礎的一個部分,其目的和任務是通過兩周的時間,讓學生掌握EDA的基本方法,熟悉一種EDA軟件QuartusII,并能利用EDA軟件設計一個電子技術綜合問題,并在實驗板上成功下載,為以后進行工程實際問題的研究打下設計基礎。 課程的教學目的:1通過課程設計實踐,樹立正確的設計思想,培養(yǎng)綜合運用EDA應用技術課程和其他先修課程的理論與生產實際知識來分析和解決電子專業(yè)問題的能力。2學習電子設計自動化的一般方法,掌握電子設計自動化的一般規(guī)律。3通過本課程設計的學習, 使同學們進一步掌握大規(guī)模邏輯電路CPLD和FPGA的原理和應用,并能利用VHDL語言開發(fā)較復雜的實用電路。 二、基本要求1通過課程設計使學生

3、能熟練掌握一種EDA軟件QuartusII的使用方法,能熟練進行設計輸入、編譯、管腳分配、下載等過程。2通過課程設計使學生能利用EDA軟件QuartusII進行至少一個電子技術綜合問題的設計(內容可由老師指定或自由選擇),設計輸入可采用圖形輸入法或VHDL硬件描述語言輸入法。3通過課程設計使學生初步具有分析、尋找和排除電子電路中常見故障的能力。4通過課程設計使學生能獨立寫出嚴謹?shù)?、有理論根?jù)的、實事求是的、文理通順的字跡端正的課程設計報告。三、實踐內容與時間分配見表1。表1 序號實踐內容基本要求時間分配(天數(shù))1資料收集和方案設計完成資料收集12模塊設計完成原理圖總體框架33各模塊調試完成各個

4、模塊設計24整體電路設計調試完成整個系統(tǒng)調試35答辯能正確回答老師提問1合計:10四、實踐條件與地點建議1. 實踐基本條件要求實訓專用機機房、通風設備好,CCS開發(fā)平臺、EL-DSP-EXP-IV+仿真/教學實驗系統(tǒng)。2. 實踐地點建議實驗中心。五、能力培養(yǎng)與素質提升1. 能力培養(yǎng)掌握CPLD和FPGA的基本結構、各部分工作原理,基本掌握開發(fā)軟件QuartusII的使用方法,掌握CycloneII系列器件的功能、特點、I/O端口配置、邊界掃描、器件編程及在線調試技術,掌握有關的外圍器件的設計,掌握硬件描述語言VHDL的語法特點、結構和編程方法。2. 素質提升提高工作責任心、提高實際動手能力、團隊合作能力、獨立思考能力、創(chuàng)新能力,在EDA基礎課程設計中,培養(yǎng)出正確的工程開發(fā)方法和觀念。六、考核方式與評分標準1考核方式:考查2成績

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論