MCS-51與DA、AD的接口-杭電_第1頁
MCS-51與DA、AD的接口-杭電_第2頁
MCS-51與DA、AD的接口-杭電_第3頁
MCS-51與DA、AD的接口-杭電_第4頁
MCS-51與DA、AD的接口-杭電_第5頁
已閱讀5頁,還剩64頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、8.3 D/A轉(zhuǎn)換器的接口與應(yīng)用概述概述為什么要用為什么要用D/A、A/D轉(zhuǎn)換器件轉(zhuǎn)換器件 能將模擬量轉(zhuǎn)換為數(shù)字量的電路稱為模數(shù)轉(zhuǎn)換器,簡稱A/D轉(zhuǎn)換器或ADC;能將數(shù)字量轉(zhuǎn)換為模擬量的電路稱為數(shù)模轉(zhuǎn)換器,簡稱D/A轉(zhuǎn)換器或DAC。ADC和DAC是溝通模擬電路和數(shù)字電路的橋梁,也可稱之為兩者之間的接口。多路開關(guān)數(shù)字控制計算機DACADC功率放大功率放大執(zhí)行機構(gòu)執(zhí)行機構(gòu)加熱爐加熱爐溫度傳感器溫度傳感器信號放大信號放大多路開關(guān)8.3.1 DAC的轉(zhuǎn)換原理及分類 1.轉(zhuǎn)換原理 DAC的基本原理是把數(shù)字量的每一位按照權(quán)重轉(zhuǎn)換成相應(yīng)的模擬分量,然后根據(jù)疊加定理將每一位對應(yīng)的模擬分量相加,輸出相應(yīng)的電流或

2、電壓。 uo或 io輸出D/Ad0d1dn1輸入)2222(00112211oddddKunnnnu 2.DAC的分類的分類 1)根據(jù))根據(jù)DAC內(nèi)部結(jié)構(gòu)不同內(nèi)部結(jié)構(gòu)不同 權(quán)電阻網(wǎng)絡(luò)型權(quán)電阻網(wǎng)絡(luò)型 “T”型電阻網(wǎng)絡(luò)型型電阻網(wǎng)絡(luò)型 2)根據(jù)輸出結(jié)構(gòu)的不同根據(jù)輸出結(jié)構(gòu)的不同 電壓輸出型(如電壓輸出型(如TLC5620) 電流輸出型電流輸出型 (如如THS5661A) 3)根據(jù)與單片機接口方式不同根據(jù)與單片機接口方式不同 并行接口并行接口DAC(如如DAC0832、DAC0808) 串行接口串行接口DAC(TLC5615等等)1.權(quán)電阻型權(quán)電阻型DAC 權(quán)電阻型權(quán)電阻型DAC核心思想在于用核心思想在

3、于用等比例的電阻等比例的電阻在在參考電壓的作用下產(chǎn)生和權(quán)重對應(yīng)的權(quán)電流,權(quán)電參考電壓的作用下產(chǎn)生和權(quán)重對應(yīng)的權(quán)電流,權(quán)電流在數(shù)字開關(guān)的作用下進行合成模擬信號。流在數(shù)字開關(guān)的作用下進行合成模擬信號。 參考電壓源、參考電壓源、模擬開關(guān)、模擬開關(guān)、比例電阻、比例電阻、求和放大器求和放大器8.3.1 四位權(quán)電阻網(wǎng)絡(luò)四位權(quán)電阻網(wǎng)絡(luò)DAC結(jié)構(gòu)結(jié)構(gòu)權(quán)電阻網(wǎng)絡(luò)型DAC優(yōu)缺點: 優(yōu)點:是電路結(jié)構(gòu)簡單,使用電阻數(shù)量較少;各位數(shù)碼同時轉(zhuǎn)換,速度較快。 缺點:是電阻譯碼網(wǎng)絡(luò)中電阻種類較多、取值相差較大,隨著輸入信號位數(shù)的增多,電阻網(wǎng)絡(luò)中電阻取值的差距加大;在相當寬的范圍內(nèi)保證電阻取值的精度較困難,對電路的集成化不利。

4、該電路比較適用于輸入信號位數(shù)較低的場合。 2. T型電阻網(wǎng)絡(luò)型型電阻網(wǎng)絡(luò)型DAC T型電阻網(wǎng)絡(luò)型DAC克服了權(quán)電阻型DAC電阻阻值較多的缺點,如圖8.3.3所示,S0S3為模擬開關(guān),R2R電阻解碼網(wǎng)絡(luò)呈倒T形,運算放大器A構(gòu)成求和電路。 圖圖8.3.3 倒倒T形電阻網(wǎng)絡(luò)形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器轉(zhuǎn)換器D/A轉(zhuǎn)換原理-倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換電路原理倒倒T T型電阻網(wǎng)絡(luò)型電阻網(wǎng)絡(luò)D/AD/A轉(zhuǎn)換原理圖轉(zhuǎn)換原理圖R2R2R2R2RRRRRD0D1D2D3節(jié)點3節(jié)點2節(jié)點1節(jié)點0IVREF+-VOUTI3I2I1I0圖中 D3 D2 D1 D0是4位二進制數(shù)字量輸入,當D3 D2 D1 D0中的某一位狀

5、態(tài)為1時,圖中開關(guān)打向右方,為0 時,開關(guān)打向左方。RFIOUT1IOUT2VREF為基準電壓輸入,Vout是電壓模擬量輸出。由運算放大器概念可知:Vout= RFIout1Iout1Iout1是開關(guān)打向右端的各支路電流I Ii i之和,實際上301iOUTDiIiI(其中 就是數(shù)字量D3 D2 D1 D0的某一位)Di(b) 等效電路圖R2R2R2R2RRRRRD0D1D2D3節(jié)點3節(jié)點2節(jié)點1節(jié)點0IVREFI3I2I1I0由等效電路圖(b)可知,各支路電流分別為: RVREFI42RVREFI81RVREFI160RVREFI23iiiRVFOUTDRVREF30162上式右邊iiiD3

6、02表示的就是數(shù)字量D3 D2 D1 D0的值(按權(quán)展開),而左邊是模擬量輸出值,可見模擬模擬量輸出正比于數(shù)字量輸入,即實現(xiàn)了量輸出正比于數(shù)字量輸入,即實現(xiàn)了D/AD/A轉(zhuǎn)轉(zhuǎn)換。換。D/A轉(zhuǎn)換器的主要技術(shù)指標: 1)分辯率)分辯率(Resolution) 指最小模擬輸出量(對應(yīng)數(shù)字量指最小模擬輸出量(對應(yīng)數(shù)字量僅最低位為僅最低位為1)與最大量(對應(yīng)數(shù)字量所有有效位為)與最大量(對應(yīng)數(shù)字量所有有效位為1)之比。)之比。 分辨率也可以用分辨率也可以用D/A轉(zhuǎn)換器的最小輸出電壓與最大輸出電轉(zhuǎn)換器的最小輸出電壓與最大輸出電壓的比值來表示。壓的比值來表示。10位位D/A轉(zhuǎn)換器的分辨率為:轉(zhuǎn)換器的分辨率為

7、:001. 01023112110 2)建立時間)建立時間(Setting Time) 是將一個數(shù)字量轉(zhuǎn)換是將一個數(shù)字量轉(zhuǎn)換為穩(wěn)定模擬信號所需的時間,也可以認為是轉(zhuǎn)換為穩(wěn)定模擬信號所需的時間,也可以認為是轉(zhuǎn)換時間。時間。D/A中常用建立時間來描述其速度,而不中常用建立時間來描述其速度,而不是是A/D中常用的轉(zhuǎn)換速率。中常用的轉(zhuǎn)換速率。 一般地,電流輸出一般地,電流輸出D/A建立時間較短,電壓輸出建立時間較短,電壓輸出D/A則較長。則較長。 其他指標還有線性度其他指標還有線性度(Linearity)、轉(zhuǎn)換精度、溫、轉(zhuǎn)換精度、溫度系數(shù)度系數(shù)/漂移等。漂移等。8.3.2 并行接口DAC 并行DAC按

8、照轉(zhuǎn)換位數(shù)分為8位、10位、12位、16位等,考慮單片機接口便利程度這里以經(jīng)典的DAC0832 D/A轉(zhuǎn)換器介紹并行接口DAC。DAC0832特點特點:DAC0832是一個是一個8位通用型位通用型D/A轉(zhuǎn)換器,該芯片具有以下特點:轉(zhuǎn)換器,該芯片具有以下特點:單電源供電,從單電源供電,從+5V+15V均可正常工作均可正常工作基準電壓的范圍為基準電壓的范圍為1010V;電流建立時間為電流建立時間為1uS;四象限電流輸出型;四象限電流輸出型;CMOS 工藝,低功耗工藝,低功耗20mW。DAC0832芯片及其與單片機接口與應(yīng)用一一. .DAC0832的引腳(20PIN)及結(jié)構(gòu)0832-80832-8位

9、位D/AD/A08320832引腳功能引腳功能I IOUT2OUT2電流輸出電流輸出2 2 CS CS選片選片 WR1 WR1輸入寫輸入寫DI0DI0DI7DI7數(shù)據(jù)線數(shù)據(jù)線CSWR1AGNDDI3DI2DI1DI0VREFRFRDGNDVccILEWR2XFERDI4DI5DI6DI7IOUT2IOUT1DAC0832DACDAC寫寫 WR2 WR2I IOUT1OUT1電流輸出電流輸出1 1數(shù)據(jù)鎖存數(shù)據(jù)鎖存 ILE ILE數(shù)據(jù)傳送數(shù)據(jù)傳送 XFER XFER圖 9-4 DAC0832的引腳分布圖二、二、DAC0832的控制信號引腳功能電流輸出電流輸出1 1輸入寫輸入寫DACDAC寫寫數(shù)據(jù)傳

10、送數(shù)據(jù)傳送輸入寄存器的使用輸入寄存器的使用1、ILE=1, WR1=0 輸入寄存器直通輸入寄存器直通2、 ILE=1, WR1=1 輸入寄存器鎖存輸入寄存器鎖存DAC寄存器的使用寄存器的使用1、XFER=0, WR2=0 DAC寄存器直通寄存器直通2、 XFER=0, WR2=1 DAC寄存器鎖存寄存器鎖存已知:已知:cs = 0三三DAC0832的工作方式的工作方式DAC0832有三種方式:直通方式、單緩沖方式和雙緩沖方式。1直通方式:直通方式: 、 、 、 直接接地,ILE接電源,DAC0832工作于直通方式,此時,8位輸入寄存器和8位DAC寄存器都直接處于導(dǎo)通狀態(tài),8位數(shù)字量到達DI0D

11、I7,就立即進行D/A轉(zhuǎn)換,從輸出端得到轉(zhuǎn)換的模擬量。 WR1 WR2 CS XFER 當引腳8031P2.7P1DI7DI0CSXFERWR1WR2DAC0832IOUT2IOUT1+-RFRILE+5V8031P3.6P2.7P1DI7DI0CSXFERWR1WR2DAC0832IOUT2IOUT1+-RFRDAC0832單緩沖方式接口電路ILE+5V2單緩沖方式:單緩沖方式: WR1 WR2 CS XFER 當連接引腳 、 、 、 ,使得兩個鎖存器的一個處于直通狀態(tài),另一個處于受控制狀態(tài),或者兩個被控制同時導(dǎo)通,DAC0832就工作于單緩沖方式,例如下圖就是一種單緩沖方式的連接 對于下圖

12、的單緩沖連接,只要數(shù)據(jù)DAC0832寫入8位輸入鎖存器,就立即開始轉(zhuǎn)換,轉(zhuǎn)換結(jié)果通過輸出端輸出。3雙緩沖方式:雙緩沖方式:當8位輸入鎖存器和8位DAC寄存器分開控制導(dǎo)通時,DAC0832工作于雙緩沖方式,雙緩沖方式時單片機對DAC0832的操作分兩步,第一步,使8位輸入鎖存器導(dǎo)通,將8位數(shù)字量寫入8位輸入鎖存器中;第二步,使8位DAC寄存器導(dǎo)通,8位數(shù)字量從8位輸入鎖存器送入8位DAC寄存器。第二步只使DAC寄存器導(dǎo)通,在數(shù)據(jù)輸入端寫入的數(shù)據(jù)無意義。下圖就是一種雙緩沖方式的連接。P2.7P2.6P3.6P1.0P1.7 8051 Vout-VCCILECSXFERWR1WR2DI0DI7DGN

13、D AGNDVREFRfbIOUT1IOUT2+5V-5V-A+ -四、電壓輸出方法四、電壓輸出方法DAC0832是一個電流輸出型是一個電流輸出型DAC,要想輸出電,要想輸出電壓要增加電流壓要增加電流/電壓變換環(huán)節(jié),常用運算放大器電壓變換環(huán)節(jié),常用運算放大器實現(xiàn)轉(zhuǎn)換(如圖實現(xiàn)轉(zhuǎn)換(如圖8.3.6所示,圖中所示,圖中DAC0832工作工作于直通方式),圖中于直通方式),圖中圖8.3.6 單極性輸出圖8.3.7 雙極性輸出五五DAC0832的應(yīng)用的應(yīng)用 D/A轉(zhuǎn)換器在實際中經(jīng)常作為波形發(fā)生器使用,通過它轉(zhuǎn)換器在實際中經(jīng)常作為波形發(fā)生器使用,通過它可以產(chǎn)生各種各樣的波形。它的基本原理如下:利用可以產(chǎn)

14、生各種各樣的波形。它的基本原理如下:利用D/A轉(zhuǎn)換器輸出模擬量與輸入數(shù)字量成正比這一特點,通過程轉(zhuǎn)換器輸出模擬量與輸入數(shù)字量成正比這一特點,通過程序控制序控制CPU向向D/A轉(zhuǎn)換器送出隨時間呈一定規(guī)律變化的數(shù)轉(zhuǎn)換器送出隨時間呈一定規(guī)律變化的數(shù)字,則字,則D/A轉(zhuǎn)換器輸出端就可以輸出隨時間按一定規(guī)律變轉(zhuǎn)換器輸出端就可以輸出隨時間按一定規(guī)律變化的波形?;牟ㄐ?。00H0FFHA=00A=0FFHA=00A=0FFH直通方式舉例直通方式舉例 程序?qū)嵗绦驅(qū)嵗?:輸出正鋸齒波:輸出正鋸齒波 Main()() While(1)P1+;/這里假設(shè)這里假設(shè)P1口接數(shù)據(jù)輸入口接數(shù)據(jù)輸入 程序?qū)嵗绦驅(qū)嵗?:輸

15、出負鋸齒波:輸出負鋸齒波 Main()() While(1) P1-; /這里假設(shè)這里假設(shè)P1口接數(shù)據(jù)輸入口接數(shù)據(jù)輸入 程序?qū)嵗绦驅(qū)嵗?:輸出三角波:輸出三角波 Main()() P1=0; While(1) While(1) If(P1!=0 xFF) P1+;/這里假設(shè)這里假設(shè)P1口接數(shù)據(jù)輸入口接數(shù)據(jù)輸入 Else Break; While(1) If(P1!=0 x00) P1-; /這里假設(shè)這里假設(shè)P1口接數(shù)據(jù)輸入口接數(shù)據(jù)輸入 Else Break; 8.3.3 串行接口串行接口DAC 近年來,隨著串行總線(近年來,隨著串行總線(SPI、IIC、QSPI等)的飛速發(fā)展及串行總線在單片

16、機的普等)的飛速發(fā)展及串行總線在單片機的普及,采用串行接口的低成本及,采用串行接口的低成本DAC越來越多。越來越多。這里以這里以TLC5615為例介紹串行接口為例介紹串行接口DAC。 TLC5615的特點:的特點:(1)10位位CMOS電壓輸出;電壓輸出;(2)5V單電源供電;單電源供電;(3)與)與CPU三線串行接口;三線串行接口;(4)最大輸出電壓可達基準電壓的二倍;)最大輸出電壓可達基準電壓的二倍;(5)輸出電壓具有和基準電壓相同極性;)輸出電壓具有和基準電壓相同極性;(6)建立時間)建立時間12.5uS;(7)內(nèi)部上電復(fù)位;)內(nèi)部上電復(fù)位;(8)低功耗,最大僅)低功耗,最大僅1.75m

17、W。圖圖8.3.10 TLC5615內(nèi)部結(jié)構(gòu)內(nèi)部結(jié)構(gòu)TLC5615工作時序:工作時序:當片選當片選為低電平時,輸入數(shù)據(jù)為低電平時,輸入數(shù)據(jù)DIN由時鐘由時鐘SCLK同步輸入,同步輸入,而而且最高有效位在前,低有效位在后且最高有效位在前,低有效位在后。輸入時。輸入時SCLK的的上升沿上升沿把把串行輸入數(shù)據(jù)串行輸入數(shù)據(jù)DIN移入內(nèi)部的移入內(nèi)部的16位移位寄存器,位移位寄存器,片選片選的上升沿的上升沿把數(shù)據(jù)傳送至把數(shù)據(jù)傳送至DAC寄存器。寄存器。圖圖8.3.11 TLC5615典型工作時序典型工作時序結(jié)論: 要想串行輸入數(shù)據(jù)和輸出數(shù)據(jù)必須滿足兩個條件: 1、時鐘SCLK的有效跳變; 2、片選CS為低

18、電平。 Note:為了使時鐘的內(nèi)部饋通最小,當片選為高電平時,輸入時鐘SCLK應(yīng)當為低電平。 例:在電路中,例:在電路中,AT89S51單片機的單片機的P3.0P3.2分別控制分別控制TLC5615的片選,串行時鐘輸入的片選,串行時鐘輸入SCLK和串行數(shù)據(jù)輸入和串行數(shù)據(jù)輸入DIN。電路的連接采用非級聯(lián)方式(電路的連接采用非級聯(lián)方式(12位方式),參考電壓位方式),參考電壓2V,最大輸出電壓最大輸出電壓4V。 /TI 10位DAC TLC5615的示例程序 #define SPI_CLK P3_1 #define SPI_DATA P3_2 #define CS_DA P3_0 void da5

19、615(unsigned int dat) unsigned char i; dat=6;/D/A數(shù)據(jù)最高位移到數(shù)據(jù)最高位移到dat最高位,低最高位,低6位補零位補零 CS_DA=0; SPI_CLK=0; for(i=0;i12;i+) SPI_DATA=(bit)(dat&0 x8000); SPI_CLK=1; dat=1; SPI_CLK=0; CS_DA=1; SPI_CLK=0; for (i=0;i100;i+); 8.4 A/D轉(zhuǎn)換器的接口與應(yīng)用轉(zhuǎn)換器的接口與應(yīng)用 A/D轉(zhuǎn)換器(轉(zhuǎn)換器(Analog to Digital Converter)是將是將模擬量模擬量轉(zhuǎn)換成轉(zhuǎn)

20、換成數(shù)字量數(shù)字量的器件,通常也的器件,通常也用用ADC表示,它可以將模擬量比例地轉(zhuǎn)換表示,它可以將模擬量比例地轉(zhuǎn)換成數(shù)字量,是模擬量測量的基本器件。成數(shù)字量,是模擬量測量的基本器件。 8.4.1 ADC的轉(zhuǎn)換原理及分類的轉(zhuǎn)換原理及分類 8.4.2 并行接口并行接口ADC(ADC0809) 8.4.3 串行接口串行接口ADC(TLC549)1 A /D 轉(zhuǎn) 換 器 的 基 本 原 理dn-1d1d0數(shù)字量輸出(n位)ADC的數(shù)字化編碼電路 CPS SCADC采樣-保持電路采樣展寬信號輸入模擬電壓ui(t)us(t)模擬電子開關(guān)S在采樣脈沖CPS的控制下重復(fù)接通、斷開的過程。S接通時,ui(t)對

21、C充電,為采樣過程;S斷開時,C上的電壓保持不變,為保持過程。在保持過程中,采樣的模擬電壓經(jīng)數(shù)字化編碼電路轉(zhuǎn)換成一組n位的二進制數(shù)輸出。8.4.1 ADC的轉(zhuǎn)換原理及分類2. ADC的分類 ADC的種類很多,根據(jù)轉(zhuǎn)換原理,常見的ADC主要有逐次逼近式和雙積分式等類型。 1) 逐次逼近式原理逐次逼近式原理 逐次逼近轉(zhuǎn)換過程與用天平稱物重過程非常相似,按照天逐次逼近轉(zhuǎn)換過程與用天平稱物重過程非常相似,按照天平稱重的思路,逐次比較型平稱重的思路,逐次比較型A/D轉(zhuǎn)換器,就是將輸入模擬轉(zhuǎn)換器,就是將輸入模擬信號與不同的參考電壓做多次比較,使轉(zhuǎn)換所得的數(shù)字量信號與不同的參考電壓做多次比較,使轉(zhuǎn)換所得的數(shù)

22、字量在數(shù)值上逐次逼近輸入模擬量的對應(yīng)值。逐次逼近式在數(shù)值上逐次逼近輸入模擬量的對應(yīng)值。逐次逼近式ADC具有較快的轉(zhuǎn)換速率和較高的精度,轉(zhuǎn)換速率介于全并式具有較快的轉(zhuǎn)換速率和較高的精度,轉(zhuǎn)換速率介于全并式和雙積分式之間,應(yīng)用非常廣泛,常用的集成逐次比較型和雙積分式之間,應(yīng)用非常廣泛,常用的集成逐次比較型A/D轉(zhuǎn)換器有轉(zhuǎn)換器有ADC0808/0809系列(系列(8)位、)位、AD575(10位)、位)、AD1674A(12位)等。位)等。輸出數(shù)字量輸入模擬電壓uoui順序脈沖發(fā)生器逐次逼近寄存器D/A轉(zhuǎn)換器電壓比較器轉(zhuǎn)換開始前先將所有寄存器清零。開始轉(zhuǎn)換以后,時鐘脈沖首先將寄存器最高位置成1,使輸

23、出數(shù)字為1000。這個數(shù)碼被D/A轉(zhuǎn)換器轉(zhuǎn)換成相應(yīng)的模擬電壓uo,送到比較器中與ui進行比較。若uoui,說明數(shù)字過大了,故將最高位的1清除;若uoui,說明數(shù)字還不夠大,應(yīng)將這一位保留。然后,再按同樣的方式將次高位置成1,并且經(jīng)過比較以后確定這個1是否應(yīng)該保留。這樣逐位比較下去,一直到最低位為止。比較完畢后,寄存器中的狀態(tài)就是所要求的數(shù)字量輸出。Q1 Q2 Q3 Q4 Q5ui1D C11D C11D C11D C11D C1&11FF1 FF2 FF3 FF4 FF5&uoCP +Cucd2(22)d1(21)d0(20)FFA Q FFB Q FFCG1 G2 G3G4

24、G5QG6G7G8=1(ui uo)=0(uiuo)uc=1S C1 1R1S C1 1R1S C1 1R3 位D/A 轉(zhuǎn)換器轉(zhuǎn)換開始前,先使Q1=Q2=Q3=Q4=0,Q5=1,第一個CP到來后,Q1=1,Q2=Q3=Q4=Q5=0,于是FFA被置1,F(xiàn)FB和FFC被置0。這時加到D/A轉(zhuǎn)換器輸入端的代碼為100,并在D/A轉(zhuǎn)換器的輸出端得到相應(yīng)的模擬電壓輸出uo。uo和ui在比較器中比較,當若uiuo時,比較器輸出uc=1;當uiuo時,uc=0。第二個CP到來后,環(huán)形計數(shù)器右移一位,變成Q2=1,Q1=Q3=Q4=Q5=0,這時門G1打開,若原來uc=1,則FFA被置0,若原來uc=0,

25、則FFA的1狀態(tài)保留。與此同時,Q2的高電平將FFB置1。第三個CP到來后,環(huán)形計數(shù)器又右移一位,一方面將FFC置1,同時將門G2打開,并根據(jù)比較器的輸出決定FFB的1狀態(tài)是否應(yīng)該保留。第四個CP到來后,環(huán)形計數(shù)器Q4=1,Q1=Q2=Q3=Q5=0,門G3打開,根據(jù)比較器的輸出決定FFC的1狀態(tài)是否應(yīng)該保留。第五個CP到來后,環(huán)形計數(shù)器Q5=1,Q1=Q2=Q3=Q4=0,F(xiàn)FA、FFB、FFC的狀態(tài)作為轉(zhuǎn)換結(jié)果,通過門G6、G7、G8送出。1)1)并聯(lián)比較型并聯(lián)比較型A/D轉(zhuǎn)換器轉(zhuǎn)換器: :轉(zhuǎn)換速度快,主要缺點是轉(zhuǎn)換速度快,主要缺點是要使用的比較器和觸發(fā)器很多,隨著分辨率的提高,要使用的比

26、較器和觸發(fā)器很多,隨著分辨率的提高,所需元件數(shù)目按幾何級數(shù)增加。所需元件數(shù)目按幾何級數(shù)增加。2)2)雙積分型雙積分型A/D轉(zhuǎn)換器轉(zhuǎn)換器: :性能比較穩(wěn)定,轉(zhuǎn)換精度高,性能比較穩(wěn)定,轉(zhuǎn)換精度高,具有很高的抗干擾能力,電路結(jié)構(gòu)簡單,其缺點是具有很高的抗干擾能力,電路結(jié)構(gòu)簡單,其缺點是工作速度較低,在對轉(zhuǎn)換精度要求較高,而對轉(zhuǎn)換工作速度較低,在對轉(zhuǎn)換精度要求較高,而對轉(zhuǎn)換速度要求較低的場合,如數(shù)字萬用表等檢測儀器中速度要求較低的場合,如數(shù)字萬用表等檢測儀器中. .3)3)逐次逼近型逐次逼近型A/D轉(zhuǎn)換器轉(zhuǎn)換器: :分辨率較高、誤差較低、分辨率較高、誤差較低、轉(zhuǎn)換速度較快,在一定程度上兼顧了以上兩種轉(zhuǎn)

27、換轉(zhuǎn)換速度較快,在一定程度上兼顧了以上兩種轉(zhuǎn)換器的優(yōu)點,因此得到普遍應(yīng)用。器的優(yōu)點,因此得到普遍應(yīng)用。 ADC轉(zhuǎn)換器比較8.4.2 并行接口并行接口ADC一一. ADC0809的特點和結(jié)構(gòu)的特點和結(jié)構(gòu)(1)主要特性:)主要特性: 8路路8位位AD轉(zhuǎn)換器,即分辨率轉(zhuǎn)換器,即分辨率8位。位。 具有轉(zhuǎn)換起??刂贫恕>哂修D(zhuǎn)換起??刂贫恕?轉(zhuǎn)換時間為轉(zhuǎn)換時間為100s。 單個單個5V電源供電。電源供電。 模擬輸入電壓范圍模擬輸入電壓范圍05V,不需零點和滿,不需零點和滿刻度校準??潭刃?。 工作溫度范圍為工作溫度范圍為.4085攝氏度。攝氏度。 低功耗,約低功耗,約15mW。圖圖3 3 ADC0809的

28、結(jié)構(gòu)框圖8路模擬量開關(guān)地址鎖存與譯碼8位A/D轉(zhuǎn)換器三態(tài)輸出鎖存器STARTCLKIN0 IN7D0 D7ABCALEVR(+)VR(-)EOCOE二二ADC0809的引腳的引腳ADC0809芯片有芯片有28個引腳,采用雙列直插式封裝,如圖。個引腳,采用雙列直插式封裝,如圖。 IN3 IN4 IN5 IN6 IN7 START EOC D3 OE CLOCK VCC VREF+ GND D1 IN2 IN1 IN0 ADDA ADDB ADDC ALE D7 D6 D5 D4 D0 VREF- D2 1 2 3 4 5 6 7 8 9 10 11 12 13 14 28 27 26 25 24

29、 23 22 21 20 19 18 17 16 15 2 其中:其中:IN0IN7:8路模擬量輸入端。路模擬量輸入端。D0D7:8位數(shù)字量輸出端。位數(shù)字量輸出端。ADDA、ADDB、ADDC:3位地址輸入線,用于選擇位地址輸入線,用于選擇8路模擬通路模擬通道中的一路,選擇情況見表。道中的一路,選擇情況見表。 ADDCADDBADDA選擇通道選擇通道000IN0001IN1010IN2011IN3100IN4101IN5110IN6111IN7ALE:地址鎖存允許信號,輸入,高電平有效。:地址鎖存允許信號,輸入,高電平有效。START:A/D轉(zhuǎn)換啟動信號,輸入,高電平有效。轉(zhuǎn)換啟動信號,輸入,

30、高電平有效。EOC:A/D轉(zhuǎn)換結(jié)束信號,輸出。當啟動轉(zhuǎn)換時,該引轉(zhuǎn)換結(jié)束信號,輸出。當啟動轉(zhuǎn)換時,該引腳為低電平,當腳為低電平,當A/D轉(zhuǎn)換結(jié)束時,該線腳輸出高電平。轉(zhuǎn)換結(jié)束時,該線腳輸出高電平。OE:數(shù)據(jù)輸出允許信號,輸入,高電平有效。當轉(zhuǎn)換:數(shù)據(jù)輸出允許信號,輸入,高電平有效。當轉(zhuǎn)換結(jié)束后,如果從該引腳輸入高電平,則打開輸出三態(tài)門,結(jié)束后,如果從該引腳輸入高電平,則打開輸出三態(tài)門,輸出鎖存器的數(shù)據(jù)從輸出鎖存器的數(shù)據(jù)從D0D7送出。送出。CLK:時鐘脈沖輸入端。要求時鐘頻率不高于:時鐘脈沖輸入端。要求時鐘頻率不高于640KHZ,通常使用頻率為通常使用頻率為500kHz.REF+、REF-:基

31、準電壓輸入端。:基準電壓輸入端。Vcc:電源,接:電源,接+5V電源。電源。GND:地。:地。ADC0809的工作流程如圖所示:的工作流程如圖所示:1輸入輸入3位地址,并使位地址,并使ALE=1,將地址存入地址鎖存器中,經(jīng),將地址存入地址鎖存器中,經(jīng)地址譯碼器譯碼從地址譯碼器譯碼從8路模擬通道中選通一路模擬量送到比較器。路模擬通道中選通一路模擬量送到比較器。2送送START一高脈沖,一高脈沖,START的的上升沿上升沿使逐次逼近寄存器復(fù)使逐次逼近寄存器復(fù)位,位,下降沿下降沿啟動啟動A/D轉(zhuǎn)換,并使轉(zhuǎn)換,并使EOC信號為低電平。信號為低電平。3當轉(zhuǎn)換結(jié)束時,轉(zhuǎn)換的結(jié)果送入到輸出三態(tài)鎖存器,并使當

32、轉(zhuǎn)換結(jié)束時,轉(zhuǎn)換的結(jié)果送入到輸出三態(tài)鎖存器,并使EOC信號回到高電平,通知信號回到高電平,通知CPU已轉(zhuǎn)換結(jié)束。已轉(zhuǎn)換結(jié)束。4CPU使使OE為高電平,從輸出端為高電平,從輸出端D0D7讀入數(shù)據(jù)。讀入數(shù)據(jù)。三三ADC0809的工作流程的工作流程2. ADC0809的接口的接口(模擬時序方式模擬時序方式)和編程和編程#define ALEP2_4#define STARTP2_5#define OEP2_6#define EOCP2_7#include #include unsigned char adc_0809(unsigned char chanel) /地址信息放在地址信息放在chanel

33、變量中變量中unsigned char dd; /臨時變量臨時變量P2&=0Xf8;P2|=chanel;/P2低三位輸出地址低三位輸出地址ALE=1;/鎖存地址鎖存地址START=1;/復(fù)位逐次逼近寄存器復(fù)位逐次逼近寄存器ALE=0;START=0;/開始轉(zhuǎn)換開始轉(zhuǎn)換_nop_();_nop_();/延時延時while(EOC=0);/等待轉(zhuǎn)換結(jié)束,轉(zhuǎn)換時間等待轉(zhuǎn)換結(jié)束,轉(zhuǎn)換時間100usOE=1;/輸出使能輸出使能dd=P1;/數(shù)據(jù)暫存數(shù)據(jù)暫存OE=0;Return(dd);/返回轉(zhuǎn)換值返回轉(zhuǎn)換值 8.4.3 串行接口串行接口ADC TLC549是德州儀器公司推出的廣泛應(yīng)用的是德州

34、儀器公司推出的廣泛應(yīng)用的CMOS 8位位A/D轉(zhuǎn)換器。該芯片有一個模擬輸入端轉(zhuǎn)換器。該芯片有一個模擬輸入端口,口,3態(tài)的數(shù)據(jù)串行輸出接口可以方便的和微處態(tài)的數(shù)據(jù)串行輸出接口可以方便的和微處理器或外圍設(shè)備連接。理器或外圍設(shè)備連接。TLC549僅僅使用輸入僅僅使用輸入輸出時鐘(輸出時鐘(I/O CLOCK)和芯片選擇()和芯片選擇(cs)信號)信號控制數(shù)據(jù)。最大的輸入輸出時鐘(控制數(shù)據(jù)。最大的輸入輸出時鐘(I/O CLOCK)為為1.1MHz。 圖圖8.4.4 TLC549內(nèi)部結(jié)構(gòu)圖內(nèi)部結(jié)構(gòu)圖一組通常的控制時序為:一組通常的控制時序為:(1)將將CS置低。內(nèi)部電路在測得置低。內(nèi)部電路在測得CS下降

35、沿后,再等待下降沿后,再等待兩個內(nèi)部時鐘上升沿和一個兩個內(nèi)部時鐘上升沿和一個下降沿下降沿后,然后確認這一變化,最后自動將前一次轉(zhuǎn)換結(jié)果的最高位后,然后確認這一變化,最后自動將前一次轉(zhuǎn)換結(jié)果的最高位(D7)位輸出位輸出到到DATA OUT端上。端上。(2) 前四個前四個I/O CLOCK周期的下降沿依次移出第周期的下降沿依次移出第2、3、4和第和第5個位個位(D6、D5、D4、D3),片上采樣保持電路在第,片上采樣保持電路在第4個個I/O CLOCK下降沿開始采樣模擬輸入。下降沿開始采樣模擬輸入。(3)接下來的接下來的3個個I/O CLOCK周期的下降沿移出第周期的下降沿移出第6、7、8(D2、

36、D1、D0)個轉(zhuǎn)換位,個轉(zhuǎn)換位,(4)最后,片上采樣保持電路在第最后,片上采樣保持電路在第8個個I/O CLOCK周期的下降沿開始保持周期的下降沿開始保持, CS必須必須為高,或為高,或I/O CLOCK保持低電平保持低電平,這種狀態(tài)需要維持,這種狀態(tài)需要維持36個內(nèi)部系統(tǒng)時鐘周期個內(nèi)部系統(tǒng)時鐘周期以以等待保持和轉(zhuǎn)換工作的完成。等待保持和轉(zhuǎn)換工作的完成。NOTE:如果如果CS為低時為低時I/O CLOCK上出現(xiàn)一個有效干擾脈沖,則微處理器上出現(xiàn)一個有效干擾脈沖,則微處理器/控控制器將與器件的制器將與器件的I/O時序失去同步;若時序失去同步;若CS為高時出現(xiàn)一次有效低電平,為高時出現(xiàn)一次有效低電

37、平,則將使引腳重新初始化,從而脫離原轉(zhuǎn)換過程。則將使引腳重新初始化,從而脫離原轉(zhuǎn)換過程。在在36個內(nèi)部系統(tǒng)時鐘周期結(jié)束之前,實施步驟個內(nèi)部系統(tǒng)時鐘周期結(jié)束之前,實施步驟(1)(4),可重新啟動,可重新啟動一次新的一次新的A/D轉(zhuǎn)換,與此同時,正在進行的轉(zhuǎn)換終止,此時的輸出是轉(zhuǎn)換,與此同時,正在進行的轉(zhuǎn)換終止,此時的輸出是前一次的轉(zhuǎn)換結(jié)果而不是正在進行的轉(zhuǎn)換結(jié)果。前一次的轉(zhuǎn)換結(jié)果而不是正在進行的轉(zhuǎn)換結(jié)果。若要在特定的時刻采樣模擬信號,應(yīng)使第若要在特定的時刻采樣模擬信號,應(yīng)使第8個個I/O CLOCK時鐘的下降時鐘的下降沿與該時刻對應(yīng),因為芯片雖在第沿與該時刻對應(yīng),因為芯片雖在第4個個I/O CL

38、OCK時鐘下降沿開始采時鐘下降沿開始采樣,卻在第樣,卻在第8個個I/O CLOCK的下降沿開始保存。的下降沿開始保存。 舉例舉例 #include intrins.h #define Wait1us_nop_(); #define Wait2us_nop_();_nop_(); #define Wait4usWait2us;Wait2us; #define Wait8usWait4us;Wait4us; #define Wait10usWait8us;Wait2us; #define Wait30usWait10us; Wait10us;Wait10us; /*定義接口總線定義接口總線*/ s

39、bit Clock = P1 2; /時鐘口線時鐘口線 sbit DataOut = P1 3; /數(shù)據(jù)輸出口線數(shù)據(jù)輸出口線 sbit ChipSelect = P1 4; /片選口線片選口線unsigned char ADCSelChannel(void) unsigned char ConvertValue = 0; unsigned char i; ChipSelect = 1; /芯片復(fù)位芯片復(fù)位 ChipSelect = 0; ChipSelect = 1; Clock = 0; Wait4us; ChipSelect = 0; /芯片起始芯片起始 Wait4us; /等待延時等待延

40、時 for (i = 0; i 8; i +) /輸入采樣轉(zhuǎn)換時鐘輸入采樣轉(zhuǎn)換時鐘 Clock = 1; Clock = 0; ChipSelect = 1; /開始轉(zhuǎn)換開始轉(zhuǎn)換 Wait30us; /等待轉(zhuǎn)換結(jié)束等待轉(zhuǎn)換結(jié)束 ChipSelect = 0; /讀取轉(zhuǎn)換結(jié)果讀取轉(zhuǎn)換結(jié)果 Wait4us; for (i = 0; i 8; i +) /讀取讀取8位串行數(shù)據(jù)位串行數(shù)據(jù) Clock = 1; ConvertValue = 1; if (DataOut) ConvertValue |= 0 x1; Clock = 0; ChipSelect = 1; return (ConvertVa

41、lue); /返回轉(zhuǎn)換結(jié)返回轉(zhuǎn)換結(jié)果果作業(yè) P223 第6題8031WRP2.7P0DI7DI0CSXFERWR1WR2DAC0832IOUT2IOUT1+-RFRDAC0832單緩沖方式接口電路ILE+5V【補充舉例【補充舉例1】 利用單緩沖方式利用單緩沖方式(總線方式總線方式),編程從,編程從DAC0832輸出端分別產(chǎn)生鋸齒波、三角波和方波。輸出端分別產(chǎn)生鋸齒波、三角波和方波。 分析:根據(jù)單緩沖方式圖的連接,DAC0832的口地址為7FFFH。匯編語言編程:鋸齒波鋸齒波 MOV DPTR,#7FFFH CLR ALOOP:MOVX DPTR,A INC A SJMP LOOP三角波:三角波

42、: MOV DPTR,#7FFFH CLR ALOOP1:MOVX DPTR,A INC A CJNE A,#0FFH,LOOP1LOOP2:MOVX DPTR,A DEC A JNZ LOOP2 SJMP LOOP1方波:方波: MOV DPTR,#7FFFHLOOP:MOV A,#00H MOVX DPTR,A ACALL DELAY MOV A,#FFH MOVX DPTR,A ACALL DELAY SJMP LOOPDELAY:MOV R7,#0FFH DJNZ R7,$ RETC語言編程:鋸齒波:#include /定義絕對地址訪問#define uchar unsigned ch

43、ar#define DAC0832 XBYTE0 x7FFFvoid main()uchar i;while(1)for (i=0;i0 xff;i+)DAC0832=i;三角波:#include /定義絕對地址訪問#define uchar unsigned char#define DAC0832 XBYTE0 x7FFFvoid main()uchar i;while(1)for (i=0;i0;i-)DAC0832=i;方波:方波:#include /定義絕對地址訪問定義絕對地址訪問#define uchar unsigned char#define DAC0832 XBYTE0 x7FFFvoid delay(void);void main()uchar i;while(1)DAC0832=0; /輸出低電平輸出低電平delay(); /延時延時DAC0832=0 xff; /輸出高電平輸出高電平delay(); /延時延時void delay() /延時函數(shù)延時函數(shù)uchar i;for (i=0;i0 xff;i+) ;四四ADC0809與與MCS-51單片機的接口(總線方式)單片機的接口(總線方式)下圖是一個ADC0809與8051的一個接口電路圖。1硬件連接硬件連接P0.0P0.1P0.2P0.3P0.4P0.5P0.6P0.7ALE WR P2.7

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論