基于DSPbuilder的FIR數(shù)字濾波器開題報告_第1頁
基于DSPbuilder的FIR數(shù)字濾波器開題報告_第2頁
基于DSPbuilder的FIR數(shù)字濾波器開題報告_第3頁
基于DSPbuilder的FIR數(shù)字濾波器開題報告_第4頁
基于DSPbuilder的FIR數(shù)字濾波器開題報告_第5頁
已閱讀5頁,還剩11頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、C o m p a n yLOGO基于基于DSP Builder數(shù)字濾波器設(shè)計與實現(xiàn)數(shù)字濾波器設(shè)計與實現(xiàn)馮博 電子信息(1班) 學(xué)號 0905074039 CompanyLCompany Logo研究背景研究背景v物理世界中各種信號相互重疊,信號處理領(lǐng)域通常要從所需要的信號排除干擾信號,這就需要濾波。濾波是根據(jù)某一希望的指標對信號頻譜進行修正、整形和處理的過程。濾波器分為模擬濾波器和數(shù)字濾波器兩種。與模擬濾波器相比,數(shù)字濾波器精度高,靈活性好,可靠性強,容易大規(guī)模集成,更適合應(yīng)用于性能較高的信號處理系統(tǒng)中。 合肥 學(xué)院 電子系CompanyLCompany Logo數(shù)字濾波器的類型數(shù)字濾波器的

2、類型無限長沖激響應(yīng)數(shù)字濾波器(InfiniteImpulseResponse Digital Filter, IIR 數(shù)字濾波器)數(shù)字濾波器有限長沖激響應(yīng)數(shù)字濾波器(Finite Impulse Response Digital Filter, FIR 數(shù)字濾波器) 合肥 學(xué)院 電子系CompanyLCompany LogoFIR濾波器和濾波器和IIR濾波器的比較濾波器的比較v與 IIR 數(shù)字濾波器相比,F(xiàn)IR 數(shù)字濾波器最顯著的優(yōu)點就是可以在設(shè)計任意幅頻特性的同時,保證嚴格的線性相位特性。線性相位對于語音信號處理、圖像處理、數(shù)據(jù)傳輸、雷達接收等一些性能要求較高的系統(tǒng)是非常重要的,所以 FIR

3、 數(shù)字濾波器較 IIR 數(shù)字濾波器在現(xiàn)代信號處理領(lǐng)域獲得了更廣泛的應(yīng)用。實際應(yīng)用中的 FIR 數(shù)字濾波器幾乎都是線性相位的,非線性相位的 FIR 數(shù)字濾波器一般可以用 IIR 數(shù)字濾波器來代替,因為達到同樣的衰減特性,所需 FIR 數(shù)字濾波器的階次高于 IIR 數(shù)字濾波器。 合肥 學(xué)院 電子系CompanyLCompany Logo用實現(xiàn)濾波器的優(yōu)勢用實現(xiàn)濾波器的優(yōu)勢vFPGA 器件結(jié)合了和的優(yōu)點,具有很好的實時性、可靠性和靈活性,它支持片上編程,可以在不改變電路的情況下通過編程下載的方式改變電路功能,開發(fā)周期短,開發(fā)軟件投入少,這些特點令 FPGA 對于科研和小批量生產(chǎn)成為首選。vFIR 數(shù)

4、字濾波器作為現(xiàn)代信號處理系統(tǒng)中的重要基礎(chǔ)部件之一,。用FPGA是實現(xiàn)高速FIR 數(shù)字濾波器的首選器件。CompanyLCompany Logo使用使用DSP Builder設(shè)計濾波器的優(yōu)勢設(shè)計濾波器的優(yōu)勢v對DSP Builder 而言,頂層的開發(fā)工具是Matlab /Simulink ,整個開發(fā)流層幾乎可以在同一環(huán)境中完成,真正實現(xiàn)了自頂向下的設(shè)計流程,包括DSP 系統(tǒng)的建模、系統(tǒng)級仿真、設(shè)計模型向VHDL 硬件描述語言代碼的轉(zhuǎn)換、RTL級功能仿真測試、編譯適配和布局布線、時序?qū)崟r仿真直至對DSP 目標器件的編程配置。整個設(shè)計流程一氣呵成地將系統(tǒng)描述和硬件實現(xiàn)有機地融為一體, 充分顯示了現(xiàn)代

5、電子設(shè)計自動化開發(fā)的在利用FPGA 進行數(shù)字濾波器的開發(fā)時,采用DSP Builder 作為設(shè)計工具能加快進度。CompanyLCompany Logo基本原理基本原理vFIR數(shù)字濾波器設(shè)計方法的基礎(chǔ)就是要求所設(shè)計的濾波器頻率響應(yīng)逼近性能指標要求的頻率響應(yīng),窗函數(shù)設(shè)計法是FIR數(shù)字濾波器設(shè)計方法之中最常用的設(shè)計方法之一。用窗函數(shù)設(shè)計 FIR 數(shù)字濾波器時,一般先要給出所要求的理想濾波器頻率響應(yīng)通過設(shè)計來逼近由于設(shè)計是在時域進行的,所以需通過傅里葉反變換導(dǎo)出即 () 是個無限長的序列。對 FIR 數(shù)字濾波器而言,其單位沖激響應(yīng) h ( n )是有限長的,所以要 逼近 ,可用一個有限長度的窗口函數(shù)

6、序列來截斷,即 () ( n)即所謂的窗函數(shù),它為有限長序列。 按照復(fù)卷積公式,在時域相乘,則頻域上是周期卷積關(guān)系,即 ()因而逼近的好壞完全取決于窗函數(shù)的頻率特性窗函數(shù) ( n)的頻率特性為: () )(jdeH10)()(NnnjjenheH)(jdeH)(nhddeeHnhnjjdd)(21)()(nhd)(nh)(nhd)(n)()()(nhnnhddeWeHeHjjdj)()(21)()( 10)()(NnnjjenweW)(jdeH)(jeWCompanyLCompany Logo常用的窗函數(shù)常用的窗函數(shù) CompanyLCompany Logo濾波器階數(shù)的計算濾波器階數(shù)的計算v通

7、帶邊界的歸一化頻率 () 阻帶邊界的歸一化頻率: ()過渡帶寬: ()通過上表可以求出N ()sppFf2sssFf2ps窗函數(shù)過度帶寬)/2/(NCompanyLCompany LogoFDA TOOL工具輔助設(shè)計工具輔助設(shè)計vFDA TOOL是交互式濾波器設(shè)計的分析工具,通過該工具提供的交互式環(huán)境,用戶可以方便的進行濾波器的設(shè)計和分析。fdatool可以設(shè)計幾乎所有的基本的常規(guī)濾波器,包括fir和iir的各種設(shè)計方法。它操作簡單,方便靈活。v將通帶歸一化頻率阻帶歸一化頻率濾波器階數(shù)采樣頻率等輸入FDA TOOL界面,求出濾波系數(shù)。CompanyLCompany Logo濾波器結(jié)構(gòu)框圖濾波器

8、結(jié)構(gòu)框圖CompanyLCompany Logo技術(shù)路線技術(shù)路線v 1.本文以設(shè)計一個音頻的低通濾波器為例,首先要查找人耳聽到的音頻的范圍確定我們所需要的指標用來限制設(shè)計范圍。選用用FIR數(shù)字濾波器來實現(xiàn)這一低通音頻濾波器。v 2.有了明確的指標后利用上文的公式()()()()計算出所需要的階數(shù)。v 3.利用Matlab軟件里帶有的FDATool設(shè)計FIR濾波器,將指標輸入FDATool設(shè)計設(shè)計頁面找到最佳的設(shè)計方案,求出濾波系數(shù)。v 4.對原始的濾波系數(shù)取整得到。v 5.用Matlab中的DSPBuilder 按上文所示的原理搭建模型,模型如上文圖1所示,反復(fù)試驗?zāi)M確定最為合適的系數(shù)。v

9、6.利用DSPBuilder生成VHDL語言,用QUAETUS2下載到FPGA芯片中完成硬件設(shè)計。CompanyLCompany Logo關(guān)鍵問題關(guān)鍵問題v1.濾波器階數(shù)的確定,濾波器的階數(shù)不是越高越好必需,在指標的要求下合理計算出所需要的階數(shù)。v2.系數(shù)的確定,在FDATool中設(shè)計好濾波器后直接生成濾波系數(shù)但產(chǎn)生的濾波系數(shù)是小數(shù)需要四舍五入成為整數(shù),而系數(shù)的不同會對濾波器的在整體效果產(chǎn)生比較明顯的影響。CompanyLCompany Logo時間安排:時間安排:v1.第一階段:開題3月29日v2.第二階段:設(shè)計階段(實驗工作階段)3月30日一4月25日(1)總體方案設(shè)計;(2)產(chǎn)品結(jié)構(gòu)的設(shè)

10、計、仿真與優(yōu)化;(3)中期檢查;v3.第三階段:撰寫畢業(yè)設(shè)計論文與準備答辯4月26日一5月6日CompanyLCompany Logo參考文獻參考文獻v 1高西全,丁玉美,闊永紅數(shù)字信號處理一原理、實現(xiàn)及應(yīng)用電子工業(yè)出版社20068:1-4v 2趙文亮, 蔣冰. 基于FPGA 的高階高速FIR 濾波器設(shè)計與實現(xiàn)J. 中國有線電視,2006,(3):329-334v 3徐志軍。徐光暉cPU)11PGA的開發(fā)與應(yīng)用北京:電子工業(yè)出版社2002v 4韓雁專用集成電路設(shè)計技術(shù)基礎(chǔ)。成都:電子科技大學(xué)出版社。2000:3-7v 5潘松. SOPC技術(shù)實用教程M.北京:清華大學(xué)出版社,2005年3月v 6Altera Device Package Information

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論