EDA-數(shù)字鐘的任務(wù)設(shè)計_第1頁
EDA-數(shù)字鐘的任務(wù)設(shè)計_第2頁
EDA-數(shù)字鐘的任務(wù)設(shè)計_第3頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

用VHDL語言設(shè)計一個電子鐘,使之具有如下功能: 具有正確的時、分、秒計時功能。 計時結(jié)果要用6個數(shù)碼管分別顯示時、分、秒的十位和個位。 有校時功能。當sb鍵按下時,分計數(shù)器以秒脈沖的速度遞增,并按60min 循環(huán),即計數(shù)到59min后再回到00。當sa鍵按下時,時計數(shù)器以秒脈沖的 速度遞增,并以24h循環(huán),即計數(shù)到23h后再回到00 。 利用揚聲器整點報時。當計時到達5950“時開始報時,在5950”、52“、54”、 56“、58”時鳴叫,鳴叫聲頻為500Hz;到達5960“時為最后一聲整點報時。 頻率為1kHz。 項目描述 掌握各類計數(shù)器的設(shè)計方法 。 掌握EDA的含義。 掌握CPLD/FPGA的選型方法。 掌握多個數(shù)碼管顯示的原理與方法 。 掌握VHDL語言的設(shè)計思想 。項目目標 任務(wù)一 認識EDA 任務(wù)二 多路選擇器的VHDL設(shè)計 任務(wù)三 六十進制計數(shù)器的VHDL設(shè)計 任務(wù)四 二十四進制計數(shù)器的VHDL設(shè)計 任務(wù)五 7段LED譯碼器的VHDL設(shè)計 任務(wù)六 6個LED數(shù)碼管的掃描控制電路的VHDL設(shè)計 任務(wù)七 十分頻模塊的VHD

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論