智能交通燈系統(tǒng)課程設(shè)計(jì)報(bào)告_第1頁(yè)
智能交通燈系統(tǒng)課程設(shè)計(jì)報(bào)告_第2頁(yè)
智能交通燈系統(tǒng)課程設(shè)計(jì)報(bào)告_第3頁(yè)
智能交通燈系統(tǒng)課程設(shè)計(jì)報(bào)告_第4頁(yè)
智能交通燈系統(tǒng)課程設(shè)計(jì)報(bào)告_第5頁(yè)
已閱讀5頁(yè),還剩25頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 課程設(shè)計(jì)報(bào)告課 程 名 稱(chēng): 單片機(jī)課程實(shí)訓(xùn) 題 目: 智能交通燈系統(tǒng) 專(zhuān) 業(yè) 班 級(jí): 學(xué) 生 姓 名: 學(xué) 生 學(xué) 號(hào): 日 期: 指 導(dǎo) 教 師: 4 / 30文檔可自由編輯打印說(shuō)明:1、報(bào)告中的第一、二、三項(xiàng)由學(xué)生在課程設(shè)計(jì)開(kāi)始前填寫(xiě),由指導(dǎo)教師指導(dǎo)并確認(rèn)簽字。2、學(xué)生成績(jī)由指導(dǎo)教師根據(jù)學(xué)生的設(shè)計(jì)情況給出各項(xiàng)分值及總評(píng)成績(jī),并填寫(xiě)成績(jī)?cè)u(píng)定表。3、所有學(xué)生必須參加課程設(shè)計(jì)的答辯環(huán)節(jié),凡不參加答辯者,其成績(jī)一律按不及格處理。答辯小組成員應(yīng)由2人及以上教師組成。答辯后學(xué)生根據(jù)答辯情況填寫(xiě)答辯記錄表。4、報(bào)告正文字?jǐn)?shù)一般應(yīng)不少于3000字,也可由指導(dǎo)教師根據(jù)本門(mén)課程設(shè)計(jì)的情況另行規(guī)定。5、平

2、時(shí)表現(xiàn)成績(jī)低于6分的學(xué)生,取消答辯資格,其該課程設(shè)計(jì)成績(jī)按不及格處理。6、課程設(shè)計(jì)完成后,由指導(dǎo)教師根據(jù)完成情況寫(xiě)出總結(jié)。7、此表格式為江蘇師范大學(xué)物理與電子工程學(xué)院提供的基本格式,指導(dǎo)教師可根據(jù)本門(mén)課程設(shè)計(jì)的特點(diǎn)及內(nèi)容做適當(dāng)?shù)恼{(diào)整。一、課程設(shè)計(jì)目的、任務(wù)和內(nèi)容要求:通過(guò)該課程設(shè)計(jì)使學(xué)生進(jìn)一步了解和加深智能化儀器設(shè)計(jì)的一般原則;熟練掌握智能化儀器與裝置的軟、硬件設(shè)計(jì)方法;掌握儀器的軟件調(diào)試及軟硬件聯(lián)合統(tǒng)調(diào)方法與技能。掌握儀器的接口技術(shù)和程控方法;熟練掌握儀表總線的工作原理、設(shè)計(jì)步驟、編程及調(diào)試;掌握C設(shè)計(jì)軟件的編程與調(diào)試方法;掌握網(wǎng)絡(luò)化儀器設(shè)計(jì)編程與調(diào)試方法。本課程設(shè)計(jì)的任務(wù)就是設(shè)計(jì)一個(gè)智能交

3、通燈系統(tǒng)。鼓勵(lì)學(xué)生在熟悉基本原理的前提下,與實(shí)際應(yīng)用相聯(lián)系,提出自己的方案,完善設(shè)計(jì)。具體設(shè)計(jì)任務(wù)如下: 1熟悉QG8芯片與ISD語(yǔ)音模塊的工作原理; 2寫(xiě)出智能交通燈系統(tǒng)的設(shè)計(jì)方案;3用硬件加以實(shí)現(xiàn);4寫(xiě)課程設(shè)計(jì)報(bào)告。設(shè)計(jì)要求:1.數(shù)碼管顯示倒計(jì)時(shí),且時(shí)間可調(diào);2.紅綠燈亮?xí)r間與數(shù)碼管一致;3.紅燈亮?xí)r對(duì)應(yīng)語(yǔ)音模塊提示;4.綠燈亮?xí)r對(duì)應(yīng)語(yǔ)音模塊提示。 二、進(jìn)度安排:第13天: 查找資料,熟悉QG8單片機(jī)與ISD語(yǔ)音模塊的工作原理,給出總體設(shè)計(jì)方案;第46天: 各模塊的詳細(xì)設(shè)計(jì);第712天:硬件連線,調(diào)試;第1314天:寫(xiě)課程設(shè)計(jì)報(bào)告。三、主要參考文獻(xiàn):1.丁元杰.單片微機(jī)的原理與應(yīng)用M.機(jī)械

4、工業(yè)出版社2.王宜懷.嵌入式技術(shù)基礎(chǔ)與實(shí)踐M.清華大學(xué)出版社,20103.張齊,朱寧西.單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)技術(shù)M.北京:電子工業(yè)出版社,20104.周新華.手把手叫你學(xué)單片機(jī)C程序設(shè)計(jì)M.北京:北京航天航空大學(xué)出版社,20095.李剛,陳世利等.飛思卡爾8位單片機(jī)實(shí)用教程M. 北京:電子工業(yè)出版社,20096.王威.嵌入式微控制器S08AW原理與實(shí)踐M.北京:北京航空航天大學(xué)出版社,2009 指導(dǎo)教師簽字: 年 月 日目錄摘要.1Abstract. 21 系統(tǒng)概要. 31.1 系統(tǒng)背景. 31.2 系統(tǒng)功能.32 設(shè)計(jì)思路圖.42.1 設(shè)計(jì)思路. .42.2 總體框圖.42.3 顯示界面方案.

5、5 2.4 輸入方案. 53 各元器件介紹.6 3.1 MCS08QG8芯片簡(jiǎn)介.6 3.1.1 Freescale08系列發(fā)展簡(jiǎn)史及S08系列MCU命名規(guī)則.6 3.2 八段LED數(shù)碼管.7 3.3 發(fā)光二極管. 74 各模塊電路設(shè)計(jì)及分析.8 4.1 單片機(jī)交通控制系統(tǒng)的通行方案設(shè)計(jì).8 4.2 單片機(jī)交通控制系統(tǒng)的功能要求.9 4.2.1 倒計(jì)時(shí)顯示9 4.2.2 時(shí)間的設(shè)置.94.2.3 緊急處理.9 4.3 單片機(jī)交通控制系統(tǒng)的基本構(gòu)成及原理95 系統(tǒng)軟件程序的設(shè)計(jì)11 5.1 BDM寫(xiě)入器電路.11 5.2 主程序流程圖.12 5.3 主程序代碼.12 5.3.1主程序顯示.12

6、5.3.2數(shù)碼管倒計(jì)時(shí)顯示.13 5.3.3交通燈切換顯示.14 5.3.4總體程序完整顯示.156 設(shè)計(jì)總結(jié).16 參考文獻(xiàn).17附:電路效果圖18摘 要隨著人們社會(huì)活動(dòng)日益增加,經(jīng)濟(jì)發(fā)展,汽車(chē)數(shù)量急劇增加,城市道路日漸擁擠,交通燈改變了交通路況,也在人們?nèi)粘I钪姓紦?jù)了重要地位,使得交通得到有效管制,對(duì)于交通疏導(dǎo),提高道路導(dǎo)通能力,減少交通事故有顯著的效果。近年來(lái),科技的飛速發(fā)展,使得電子器件也隨之廣泛應(yīng)用,其中單片機(jī)也不斷深入人民的生活當(dāng)中。本模擬交通燈系統(tǒng)利用單片機(jī)飛思卡爾QG8作為核心元件,由單片機(jī)硬/軟件系統(tǒng),兩位8段數(shù)碼管和LED燈顯示系統(tǒng)和復(fù)位電路控制電路等組成,較好的模擬了交

7、通路面的控制,實(shí)現(xiàn)了通過(guò)信號(hào)燈對(duì)路面狀況的智能控制。從一定程度上解決了交通路口堵塞、車(chē)輛停車(chē)等待時(shí)間不合理、急車(chē)強(qiáng)通等問(wèn)題。系統(tǒng)具有結(jié)構(gòu)簡(jiǎn)單、可靠性高、成本低、實(shí)時(shí)性好、安裝維護(hù)方便等優(yōu)點(diǎn),有廣泛的應(yīng)用前景。關(guān)鍵詞:交通燈;單片機(jī);數(shù)碼管Abstract With increasingly social activity and economic development, the number of cars increased dramatically, increasingly congested city roads,the traffic lights changed traffic

8、conditions, but also play an important role in people's daily lives, traffic lights show more of its functions, making effective traffic control for traffic control, improve road conduction capacity and have a significant effect on reducing traffic accidents .In recent years, with the rapid deve

9、lopment of technology, electronic devices also will be widely used, the microcontroller is also among the people living deepening. The simulated traffic light system using microcontroller Freescale QG8 as a core component The simulation system consists of microcontroller hardware / software systems,

10、 two 8-segment LED display systems and LED lights and resets circuit control circuit and other components, better control of simulated road traffic,achieving the lights on road conditions through intelligent control. To some extent, solve the traffic intersection congestion, vehicle parking unreason

11、able wait times, emergency vehicle pass other issues. System has a simple structure, high reliability, low cost, real-time, easy installation and maintenance, etc., there is a wide range of applications. Keywords: traffic light; microcontroller; digital1 系統(tǒng)概要1.1 系統(tǒng)背景 單片機(jī)(MCU)的基本定義是:在一塊芯片上集成了中央處理器(CP

12、U)、存儲(chǔ)器(RAM/ROM等)、定時(shí)器/計(jì)數(shù)器及多種輸入輸出(I/O)接口的比較完整的數(shù)字處理系統(tǒng)。單片機(jī)自1976年由Intel公司推出MCS-48開(kāi)始,迄今已有二十多年了。由于單片機(jī)集成度高、功能強(qiáng)、可靠性高、體積小、功耗地、使用方便、價(jià)格低廉等一系列優(yōu)點(diǎn),目前已經(jīng)滲入到人們工作和生活的方方面面,幾乎“無(wú)處不在,無(wú)所不為”。單片機(jī)的應(yīng)用領(lǐng)域已從面向工業(yè)控制、通訊、交通、智能儀表等迅速發(fā)展到家用消費(fèi)產(chǎn)品、辦公自動(dòng)化、汽車(chē)電子、PC機(jī)外圍以及網(wǎng)絡(luò)通訊等廣大領(lǐng)域。Freescale的S08系列8位MCU由于穩(wěn)定性高、開(kāi)發(fā)周期短、成本低、型號(hào)多樣、兼容性好被廣泛應(yīng)用。HC08是Freescale

13、的08系列之一S08表示增強(qiáng)型HC08,它是在HC08基礎(chǔ)上發(fā)展起來(lái)的,兼容HC08系列。S08是2004年左右推出8位MCU,資源豐富,功耗低,性價(jià)比很高,是08系列MCU發(fā)展趨勢(shì),其性能與許多16位MCU相當(dāng)。 MC9S08AW60是低成本、高性能8位微處理器S08家族中的成員,本次課程設(shè)計(jì)就是以該芯片為基礎(chǔ),來(lái)進(jìn)行嵌入式的設(shè)計(jì)。1.2 系統(tǒng)功能當(dāng)程序?qū)懭雴纹瑱C(jī),接通電源,數(shù)碼管從當(dāng)前設(shè)定值開(kāi)始倒計(jì)時(shí)。按秒刷新,,當(dāng)計(jì)時(shí)器停止時(shí)就開(kāi)始進(jìn)行紅綠燈的轉(zhuǎn)換。時(shí)間格式是00。通過(guò)向通用I/O端口的引腳輸入高或低(1或0)電平,作為啟動(dòng)鍵,對(duì)數(shù)碼管開(kāi)始運(yùn)行。顯示數(shù)據(jù)時(shí),按秒刷新,當(dāng)顯示到00是燈就開(kāi)

14、始自動(dòng)轉(zhuǎn)換,同時(shí)語(yǔ)音系統(tǒng)開(kāi)始工作。語(yǔ)音系統(tǒng)開(kāi)始讀出它事先錄好的語(yǔ)音。2 設(shè)計(jì)思路及框圖2.1設(shè)計(jì)思路(1)分析目前交通路口的基本控制技術(shù)以及各種通行方案,并以此為基礎(chǔ)提出自己的交通控制的初步方案。(2)確定系統(tǒng)交通控制的總體設(shè)計(jì),包括,十字路口具體的通行禁行方案設(shè)計(jì)以及系統(tǒng)應(yīng)擁有的各項(xiàng)功能,在這里,本設(shè)計(jì)除了有信號(hào)燈狀態(tài)控制能實(shí)現(xiàn)基本的交通功能,還增加了倒計(jì)時(shí)顯示提示,基于實(shí)際情況,又增加了緊急狀況處理和通行時(shí)間可調(diào)這兩項(xiàng)特特殊功能。 (3)進(jìn)行顯示電路,燈狀態(tài)電路,按鍵電路的設(shè)計(jì)和對(duì)各器件的選擇及連接,大體分配各個(gè)器件及模塊的基本功能要求。(4)進(jìn)行軟件系統(tǒng)的設(shè)計(jì),對(duì)于本系統(tǒng),本人采用單片機(jī)

15、匯編語(yǔ)言編寫(xiě),對(duì)單片機(jī)內(nèi)部結(jié)構(gòu)和工作情況做了充足的研究,了解定時(shí)器,中斷以及延時(shí)原理,總體上完成了軟件的編寫(xiě)。2.2總體框圖倒計(jì)時(shí)器設(shè)計(jì)總體設(shè)計(jì)方框圖如圖2-1所示,控制器采用單片機(jī)MC9S08QG8,用數(shù)碼管實(shí)現(xiàn)時(shí)間顯示,等倒計(jì)時(shí)結(jié)束實(shí)現(xiàn)交通燈狀態(tài)的轉(zhuǎn)換。單片機(jī)最小系統(tǒng)外圍接口電路LED數(shù)碼管顯示紅黃綠信號(hào)燈按鍵控制電路圖2-1 總體設(shè)計(jì)框圖2.3顯示界面方案該系統(tǒng)要求完成倒計(jì)時(shí)功能?;谏鲜鲈?,我考慮了二種方案:方案一:采用數(shù)碼管顯示。這種方案只顯示有限的符號(hào)和數(shù)碼字符,簡(jiǎn)單,方便。方案二:采用點(diǎn)陣式LED 顯示。這種方案雖然功能強(qiáng)大,并可方便的顯示各種英文字符,漢字,圖形等,但實(shí)現(xiàn)復(fù)雜

16、,且須完成大量的軟件工作。綜上所述,我選擇第一種方案。2.4 輸入方案題目要求系統(tǒng)能調(diào)節(jié)燈亮?xí)r間,并可處理緊急情況,我研究了兩種方案:方案一:采用8155擴(kuò)展I/O 口及鍵盤(pán),顯示等。該方案的優(yōu)點(diǎn)是:使用靈活可編程,并且有RAM,及計(jì)數(shù)器。若用該方案,可提供較多I/O 口,但操作起來(lái)稍顯復(fù)雜。方案二: 直接在I/O口線上接上按鍵開(kāi)關(guān)。由于該系統(tǒng)對(duì)于交通燈及數(shù)碼管的控制,只用單片機(jī)本身的I/O 口就可實(shí)現(xiàn),且本身的計(jì)數(shù)器及RAM已經(jīng)夠用,故選擇方案二。 3 各元器件介紹3.1 MC9S08QG8芯片 系統(tǒng)主控芯片采用Freescale公司的MC9S08QG8單片機(jī),其管腳排列如圖3-1所示。圖3

17、-1 MC9S08QG8的16管腳分配目前,F(xiàn)reescale的08系列單片機(jī)主要有HC08、HCS08和RS08三種類(lèi)型。 1999年,HC08面世-高性能8位單片機(jī)。2004年,HCS08誕生-資源豐富,功耗低,性價(jià)比很高。2006年,RS08涌現(xiàn)-內(nèi)核體積比傳統(tǒng)的內(nèi)核小30%,帶有精簡(jiǎn)指令集,滿足用戶對(duì)體積更小、更加經(jīng)濟(jì)高效的解決方案的需求。Freescale S08系列MCU的型號(hào)龐大,但同一系列的CPU是相同的,也就是說(shuō)具有相同的指令系統(tǒng),多種型號(hào)只是為了適用于不同的場(chǎng)合。為了方便實(shí)際應(yīng)用時(shí)選型,需要了解FreescaleMCU的命名方法,其基本命名規(guī)則如下: 產(chǎn)品狀態(tài) MCFull

18、y Qualified (合格) PCProduct Engineering (測(cè)試品) 存儲(chǔ)器類(lèi)型標(biāo)志 8片內(nèi)帶EPPROM 9-片內(nèi)帶Flash EPPROM 芯片內(nèi)核 08HC08 S08 RS08子系列型號(hào)標(biāo)志 AW QG GB DZ JM 存儲(chǔ)器大小 88KB 3232KB 6060KB工作溫度范圍標(biāo)志 “無(wú)”-0-70 C- -40-85 封裝標(biāo)志 PDIP SD-SSOP 3.2 八段LED數(shù)碼管LED顯示屏作為大型顯示設(shè)備的一種,具有亮度高、價(jià)格低、壽命長(zhǎng)、維護(hù)簡(jiǎn)便等優(yōu)點(diǎn)。LED數(shù)碼管的結(jié)構(gòu)簡(jiǎn)單,分為七段和八段兩種形式,也有共陽(yáng)和共陰之分。以八段共陽(yáng)管為例,它有8個(gè)發(fā)光二極管(

19、比七段多一個(gè)發(fā)光二極管,用來(lái)顯示dP,即點(diǎn)),每個(gè)發(fā)光二極管的陽(yáng)極連在一起,如圖3-2所示。這樣,一個(gè)LED數(shù)碼管就有I根位選線和8根段選線,要想顯示一個(gè)數(shù)值,就要分別對(duì)它們的高低電平來(lái)加以控制。為方便起見(jiàn),本文主要討論共陽(yáng)八段LED數(shù)碼顯示管,其他類(lèi)形的顯示管與其類(lèi)似。圖3-2 MC9S08QG8的16管腳分配LED 燈的顯示原理:通過(guò)同名管腳上所加電平的高低來(lái)控制發(fā)光二極管是否點(diǎn)亮而顯示不同的字形,如 dp,g,f,e,d,c,b,a全亮顯示為,采用共陽(yáng)極連接驅(qū)動(dòng)代碼。3.3 發(fā)光二極管根據(jù)本設(shè)計(jì)的特點(diǎn),紅綠燈的顯示不可少,紅綠燈的顯示采用普通的發(fā)光二極管。每個(gè)方向上設(shè)置紅綠黃燈,總共4組

20、。如果東西紅燈亮,那南北方向就是綠燈亮,反之亦然,所以在硬件上連接圖上也是對(duì)稱(chēng)分布的,如下圖3-3所示。圖3-3 模擬電路4 單片機(jī)交通控制系統(tǒng)總體設(shè)計(jì)4.1單片機(jī)交通控制系統(tǒng)的通行方案設(shè)計(jì)設(shè)在十字路口,分為東西向和南北向,在任一時(shí)刻只有一個(gè)方向通行,另一方向禁行,持續(xù)一定時(shí)間,經(jīng)過(guò)短暫的過(guò)渡時(shí)間,將通行禁行方向?qū)Q。其具體狀態(tài)如下圖所示。說(shuō)明:黑色表示亮,白色表示滅。交通狀態(tài)從狀態(tài)1開(kāi)始變換,直至狀態(tài)6然后循環(huán)至狀態(tài)1,周而復(fù)始,即如圖2.1所示:圖4-1 交通燈通過(guò)具體的路口交通燈狀態(tài)的演示分析我們可以把這四個(gè)狀態(tài)歸納如下:東西方向紅燈滅,同時(shí)綠燈亮,南北方向黃燈滅,同時(shí)紅燈亮,倒計(jì)時(shí)20

21、秒。此狀態(tài)下,東西向禁止通行,南北向允許通行。東西方向綠燈滅,同時(shí)黃燈亮,南北方向紅燈亮,倒計(jì)時(shí)5秒。此狀態(tài)下,除了已經(jīng)正在通行中的其他所以車(chē)輛都需等待狀態(tài)轉(zhuǎn)換。南北方向紅燈滅,同時(shí)綠燈亮,東西方向黃燈滅,同時(shí)紅燈亮,倒計(jì)時(shí)20秒。此狀態(tài)下,東西向允許通行,南北向禁止通行。南北方向綠燈滅,同時(shí)黃燈亮,東西方向紅燈亮,倒計(jì)時(shí)5秒。此狀態(tài)下,除了已經(jīng)正在通行中的其他所以車(chē)輛都需等待狀態(tài)轉(zhuǎn)換。下面我們可以用圖表表示燈狀態(tài)和行止?fàn)顟B(tài)的關(guān)系如下:表1交通狀態(tài)及紅綠燈狀態(tài)東西南北四個(gè)路口均有紅綠黃3燈和數(shù)碼顯示管2個(gè),在任一個(gè)路口,遇紅燈禁止通行,轉(zhuǎn)綠燈允許通行,之后黃燈亮警告行止?fàn)顟B(tài)將變換。狀態(tài)及紅綠燈

22、狀態(tài)如表1所示。說(shuō)明:0表示滅,1表示亮。4.2 單片機(jī)交通控制系統(tǒng)的功能要求本設(shè)計(jì)能模擬基本的交通控制系統(tǒng),用紅綠黃燈表示禁行,通行和等待的信號(hào)發(fā)生,還能進(jìn)行倒計(jì)時(shí)顯示,通行時(shí)間調(diào)整和緊急處理等功能。4.2.1倒計(jì)時(shí)顯示倒計(jì)時(shí)顯示可以提醒駕駛員在信號(hào)燈燈色發(fā)生改變的時(shí)間、在“停止”和“通過(guò)”兩者間作出合適的選擇。駕駛員和行人普遍都愿意選擇有倒計(jì)時(shí)顯示的信號(hào)控制方式,并且認(rèn)為有倒計(jì)時(shí)顯示的路口更安全。倒計(jì)時(shí)顯示是用來(lái)減少駕駛員在信號(hào)燈色改變的關(guān)鍵時(shí)刻做出復(fù)雜判斷的1種方法,它可以提醒駕駛員燈色發(fā)生改變的時(shí)間,幫助駕駛員在“停止”和“通過(guò)”兩者間作出合適的選擇 。4.2.2時(shí)間的設(shè)置本設(shè)計(jì)中可通

23、過(guò)鍵盤(pán)對(duì)時(shí)間進(jìn)行手動(dòng)設(shè)置,增加了人為的可控性,避免自動(dòng)故障和意外發(fā)生,并再緊急狀態(tài)下,可設(shè)置所有燈變?yōu)榧t燈。鍵盤(pán)是單片機(jī)系統(tǒng)中最常用的人機(jī)接口,一般情況下有獨(dú)立式和行列式兩種。前者軟件編寫(xiě)簡(jiǎn)單,但在按鍵數(shù)量較多時(shí)特別浪費(fèi)I0口資源,一般用于按鍵數(shù)量少的系統(tǒng)。后者適用于按鍵數(shù)量較多的場(chǎng)合,但是在單片機(jī)I0 口資源相對(duì)較少而需要較多按鍵時(shí),此方法仍不能滿足設(shè)計(jì)要求。本系統(tǒng)要求的按鍵控制不多,且I0口足夠,可直接采用獨(dú)立式。4.2.3緊急處理 交通路口出現(xiàn)緊急狀況在所難免,如特大事件發(fā)生,救護(hù)車(chē)等急行車(chē)通過(guò)等,我們都必須盡量允許其暢通無(wú)阻,畢竟在這種情況下是分秒必爭(zhēng)的,時(shí)時(shí)刻刻關(guān)系著公共財(cái)產(chǎn)安全,個(gè)

24、人生死攸關(guān)等。由此在交通控制中增設(shè)禁停按鍵,就可達(dá)到想此目的。4.3單片機(jī)交通控制系統(tǒng)的基本構(gòu)成及原理單片機(jī)設(shè)計(jì)交通燈控制系統(tǒng),可用單片機(jī)直接控制信號(hào)燈的狀態(tài)變化,基本上可以指揮交通的具體通行,當(dāng)然,接入LED數(shù)碼管就可以顯示倒計(jì)時(shí)以提醒行使者,更具人性化。本系統(tǒng)在此基礎(chǔ)上,加入了緊急情況處理與時(shí)間調(diào)整功能。單片機(jī)最小系統(tǒng)外圍接口電路LED數(shù)碼管顯示紅黃綠信號(hào)燈按鍵控制電路圖4-2 系統(tǒng)模塊據(jù)此,本設(shè)計(jì)系統(tǒng)以單片機(jī)為控制核心,連接成最小系統(tǒng),由按鍵設(shè)置模塊產(chǎn)生輸入,信號(hào)燈狀態(tài)模塊,LED倒計(jì)時(shí)模塊模塊接受輸出。系統(tǒng)的總體框圖如上所示。單片機(jī)上電后,系統(tǒng)進(jìn)入正常工作狀態(tài),執(zhí)行交通燈狀態(tài)顯示控制,

25、同時(shí)將時(shí)間數(shù)據(jù)倒計(jì)時(shí)輸入到LED數(shù)碼管上實(shí)時(shí)顯示。在此過(guò)程中隨時(shí)調(diào)用急停按鍵和時(shí)間調(diào)節(jié)中斷。4.4系統(tǒng)總體設(shè)計(jì)電路圖4-3 總電路5 系統(tǒng)軟件程序的設(shè)計(jì)5.1 BDM寫(xiě)入器電路 芯片的最小系統(tǒng)包括電源及其濾波電路、晶振電路、及PLL鋁箔電路,寫(xiě)入器接口(BDM)電路,QG8芯片內(nèi)部帶有晶振,所以本設(shè)計(jì)有復(fù)位和BDM模塊部分,一下給出簡(jiǎn)單介紹:RESETBKGD圖5-1 BDM寫(xiě)入器電路5.2主程序流程圖圖5-2 主程序流程圖5.3主程序代碼:5.3.1 主程序顯示void main(void) EnableInterrupts; PTADD=0xff; PTAD=0xff; PTBDD=0xf

26、f; PTBD=0xff; TPMMODL=0x24; TPMMODH=0x0f4; /*定時(shí)1秒*/ TPMSC=0x4e; /*開(kāi)中斷,采用內(nèi)部總線時(shí)鐘,并64分頻 */ for(;) PTAD_PTAD3=0; PTAD_PTAD2=1; PTBD=aai; delay(5); PTAD_PTAD2=0; PTAD_PTAD3=1; PTBD=aaj; delay(5); _RESET_WATCHDOG(); if(a%2!=0) PTAD_PTAD0=0; PTAD_PTAD1=1; PTAD_PTAD5=1; PTAD_PTAD4=0; else PTAD_PTAD1=0; PTAD

27、_PTAD0=1; PTAD_PTAD5=0; PTAD_PTAD4=1; 5.3.2 數(shù)碼管倒計(jì)時(shí)顯示 void interrupt 7 TPM() j-; if(j<0) j=9; i-; if(i<0) i=1; if(i=0&&j=0) a+; TPMSC_TOF=0; /*清中斷標(biāo)志 */ void delay(unsigned int cnt) unsigned int b,c; for(b=0;b<=cnt;b+) for(c=0;c<200;c+); 5.3.3交通燈切換顯示 for(;) PTAD_PTAD3=0; PTAD_PTAD2

28、=1; PTBD=aai; delay(5); PTAD_PTAD2=0; PTAD_PTAD3=1; PTBD=aaj; delay(5); _RESET_WATCHDOG(); if(a%2!=0) PTAD_PTAD0=0; PTAD_PTAD1=1; PTAD_PTAD5=1; PTAD_PTAD4=0; else PTAD_PTAD1=0; PTAD_PTAD0=1; PTAD_PTAD5=0; PTAD_PTAD4=1; 5.3.4總體程序完整顯示#include <hidef.h> #include "derivative.h" int aa10=

29、0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90 ;int i=1,j=9,a=1,b,c;void delay(unsigned int cnt);void main(void) EnableInterrupts; PTADD=0xff; PTAD=0xff; PTBDD=0xff; PTBD=0xff; TPMMODL=0x24; TPMMODH=0x0f4; /*定時(shí)1秒*/ TPMSC=0x4e; /*開(kāi)中斷,采用內(nèi)部總線時(shí)鐘,并64分頻 */ for(;) PTAD_PTAD3=0; PTAD_PTAD2=1; PTBD=aai; d

30、elay(5); PTAD_PTAD2=0; PTAD_PTAD3=1; PTBD=aaj; delay(5); _RESET_WATCHDOG(); if(a%2!=0) PTAD_PTAD0=0; PTAD_PTAD1=1; PTAD_PTAD5=1; PTAD_PTAD4=0; else PTAD_PTAD1=0; PTAD_PTAD0=1; PTAD_PTAD5=0; PTAD_PTAD4=1; void interrupt 7 TPM() j-; if(j<0) j=9; i-; if(i<0) i=1; if(i=0&&j=0) a+; TPMSC_TO

31、F=0; /*清中斷標(biāo)志 */ void delay(unsigned int cnt) unsigned int b,c; for(b=0;b<=cnt;b+) for(c=0;c<200;c+); 6 設(shè)計(jì)總結(jié)通過(guò)單片機(jī)課程設(shè)計(jì),我不僅加深了對(duì)單片機(jī)理論的理解,將理論很好地應(yīng)用到實(shí)際當(dāng)中去,而且我還學(xué)會(huì)了如何去培養(yǎng)我們的創(chuàng)新精神,從而不斷地戰(zhàn)勝自己,超越自己。創(chuàng)新,是要我們學(xué)會(huì)將理論很好地聯(lián)系實(shí)際,并不斷地去開(kāi)動(dòng)自己的大腦,從為人類(lèi)造福的意愿出發(fā),做自己力所能及的,別人卻沒(méi)想到的事。使之不斷地戰(zhàn)勝別人,超越前人。同時(shí),更重要的是,我在這一設(shè)計(jì)過(guò)程中,學(xué)會(huì)了堅(jiān)持不懈,不輕易言棄。

32、設(shè)計(jì)過(guò)程,也好比是我們?nèi)祟?lèi)成長(zhǎng)的歷程,常有一些不如意,也許這就是在對(duì)我們提出了挑戰(zhàn),勇敢過(guò),也戰(zhàn)勝了,勝利的鐘聲也就一定會(huì)為我們而敲響。在這次課程設(shè)計(jì)中我也發(fā)現(xiàn)了自身存在的不足之處,雖然感覺(jué)在理論上已經(jīng)掌握,但在運(yùn)用到實(shí)踐的過(guò)程中仍有意想不到的困惑,經(jīng)過(guò)一番努力才得以解決。這也激發(fā)了我今后努力學(xué)習(xí)的興趣,我想這將對(duì)我以后的學(xué)習(xí)產(chǎn)生積極的影響。其次,這次課程設(shè)計(jì)讓我充分認(rèn)識(shí)到合作的重要性,只有合作才能保證整個(gè)項(xiàng)目的有條不絮。在設(shè)計(jì)過(guò)程中,非常感謝同學(xué)們的指導(dǎo),才使設(shè)計(jì)進(jìn)展的比較順利。通過(guò)這次設(shè)計(jì),我懂得了學(xué)習(xí)的重要性,了解到理論知識(shí)與實(shí)踐相結(jié)合的重要意義,學(xué)會(huì)了堅(jiān)持、耐心和努力,這將為自己今后的學(xué)習(xí)和工作做出了最好的榜樣。 優(yōu)點(diǎn):設(shè)計(jì)思路簡(jiǎn)單,容易實(shí)現(xiàn),有利于自己的學(xué)習(xí)本裝置的最大特點(diǎn)是實(shí)時(shí)性強(qiáng)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論