基本邏輯電路設(shè)計(jì)實(shí)用教案_第1頁(yè)
基本邏輯電路設(shè)計(jì)實(shí)用教案_第2頁(yè)
基本邏輯電路設(shè)計(jì)實(shí)用教案_第3頁(yè)
基本邏輯電路設(shè)計(jì)實(shí)用教案_第4頁(yè)
基本邏輯電路設(shè)計(jì)實(shí)用教案_第5頁(yè)
已閱讀5頁(yè),還剩59頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、11、基本(jbn)門(mén)電路第1頁(yè)/共63頁(yè)第一頁(yè),共64頁(yè)。22、編碼器 設(shè)計(jì)一個(gè) 8 輸入優(yōu)先級(jí)編碼器,y0 級(jí)別( jbi)最低,y7 級(jí)別( jbi)最高;輸出為3位編碼。Y7=1Vec=111Y6=1Vec=110Y5=1Vec=101Y4=1Vec=100Y3=1Vec=011Y2=1Vec=010Y1=1Vec=001Y0=1Vec=000第2頁(yè)/共63頁(yè)第二頁(yè),共64頁(yè)。3方法方法1 1:利用:利用(lyng) if (lyng) if 多選擇語(yǔ)句自頂向下的多選擇語(yǔ)句自頂向下的優(yōu)先特性優(yōu)先特性第3頁(yè)/共63頁(yè)第三頁(yè),共64頁(yè)。4方法方法2 2:進(jìn)程內(nèi)為順序:進(jìn)程內(nèi)為順序(shnx

2、)(shnx)語(yǔ)句,最先描述優(yōu)先語(yǔ)句,最先描述優(yōu)先級(jí)最低,級(jí)最低, 最后描述優(yōu)先級(jí)最高,可實(shí)現(xiàn)優(yōu)先級(jí)編碼。最后描述優(yōu)先級(jí)最高,可實(shí)現(xiàn)優(yōu)先級(jí)編碼。第4頁(yè)/共63頁(yè)第四頁(yè),共64頁(yè)。5方法方法(fngf)3(fngf)3:利用條件賦值語(yǔ)句:利用條件賦值語(yǔ)句 architecture behavior of architecture behavior of priority is priority is begin begin vec = “111” when y7 = vec = “111” when y7 = 1 else1 else “110” when “110” when y6 = 1 e

3、lse y6 = 1 else “101” when “101” when y5 = 1 elsey5 = 1 else “100” when “100” when y4 = 1 else y4 = 1 else “011” when “011” when y3 = 1 elsey3 = 1 else “010” when “010” when y2 = 1 elsey2 = 1 else “001” when “001” when y1 = 1 elsey1 = 1 else “000” when “000” when y0 = 1 elsey0 = 1 else “XXX”; “XXX”;

4、 end behavior; end behavior;第5頁(yè)/共63頁(yè)第五頁(yè),共64頁(yè)。63、譯碼器 譯碼器是編碼器的逆過(guò)程(guchng)。如 3-8 譯碼器:sel=000Y=00000001sel =001Y=00000010sel =010Y=00000100sel =011Y=00001000sel =100Y=00010000sel =101Y=00100000sel =110Y=01000000sel =111Y=10000000第6頁(yè)/共63頁(yè)第六頁(yè),共64頁(yè)。7方法方法(fngf)1(fngf)1:使用邏輯左移運(yùn)算符:使用邏輯左移運(yùn)算符 library ieee; libr

5、ary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_unsigned.all; entity decoder is entity decoder is port(inp : in port(inp : in std_logic_vector(2 downto 0);std_logic_vector(2 downto 0); outp : out outp : out std_logic_vector(7 do

6、wnto 0);std_logic_vector(7 downto 0); end decoder; end decoder; architecture rtl of decoder is architecture rtl of decoder is begin begin outp=“00000001” outp=“00000001” sll(conv_integer(inp);sll(conv_integer(inp); end rtl; end rtl;第7頁(yè)/共63頁(yè)第七頁(yè),共64頁(yè)。8方法方法2 2:使用:使用(shyng)process(shyng)process語(yǔ)句語(yǔ)句 libr

7、ary ieee; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_unsigned.all; entity decoder is entity decoder is port(inp : in port(inp : in std_logic_vector(2 downto 0);std_logic_vector(2 downto 0); outp : out outp : out std_log

8、ic_vector(7 downto 0);std_logic_vector(7 downto 0); end decoder; end decoder; architecture rtl of decoder is architecture rtl of decoder is begin begin process(inp) process(inp) begin begin outp0); outp0); outp(conv_integer(inp)=1;outp(conv_integer(inp)=1; end process; end process; end rtl; end rtl;

9、第8頁(yè)/共63頁(yè)第八頁(yè),共64頁(yè)。9方法方法3 3:使用:使用 case case 語(yǔ)句語(yǔ)句(yj)(yj)實(shí)現(xiàn)。實(shí)現(xiàn)。第9頁(yè)/共63頁(yè)第九頁(yè),共64頁(yè)。10譯碼輸出(shch)低有效第10頁(yè)/共63頁(yè)第十頁(yè),共64頁(yè)。11方法方法(fngf)4(fngf)4:使用條件賦值語(yǔ)句:使用條件賦值語(yǔ)句第11頁(yè)/共63頁(yè)第十一頁(yè),共64頁(yè)。123-8譯碼器仿真(fn zhn)結(jié)果:譯碼輸出(shch)低有效第12頁(yè)/共63頁(yè)第十二頁(yè),共64頁(yè)。134、多路選擇器 前面用 if 語(yǔ)句、case 語(yǔ)句、條件賦值語(yǔ)句、選擇賦值語(yǔ)句分別描述過(guò)4選1選擇器。5、三態(tài)門(mén)及總線緩沖器 VHDL語(yǔ)言通過(guò)指定大寫(xiě)(dx

10、i)的Z值表示高阻狀態(tài) a : std_logic; a_bus:std_logic_vector(7 downto 0); 指定高阻狀態(tài)如下: a = Z ; a_bus = “ZZZZZZZZ” ;第13頁(yè)/共63頁(yè)第十三頁(yè),共64頁(yè)。141)三態(tài)門(mén)電路描述(mio sh)第14頁(yè)/共63頁(yè)第十四頁(yè),共64頁(yè)。15三態(tài)門(mén)仿真(fn zhn)結(jié)果:第15頁(yè)/共63頁(yè)第十五頁(yè),共64頁(yè)。162)單向(dn xin)總線緩沖器DOUT7.0ENDIN7.0ENTRI_BUF8DOUT7.0DIN7.0第16頁(yè)/共63頁(yè)第十六頁(yè),共64頁(yè)。173)雙向總線(zn xin)緩沖器B7.0ENDIRA

11、7.0B7.0A7.0DIRENBIDIR第17頁(yè)/共63頁(yè)第十七頁(yè),共64頁(yè)。18二 時(shí)序(sh x)邏輯電路設(shè)計(jì) 觸發(fā)器、寄存器、計(jì)數(shù)器、分頻器、信號(hào)發(fā)生器等。一)時(shí)序(sh x)電路特殊信號(hào)的描述 時(shí)鐘信號(hào)和復(fù)位信號(hào) 1、時(shí)鐘信號(hào)描述 常用的描述方式: 1)進(jìn)程的敏感信號(hào)是時(shí)鐘信號(hào),在進(jìn)程內(nèi) 部用if 語(yǔ)句描述時(shí)鐘的邊沿條件。第18頁(yè)/共63頁(yè)第十八頁(yè),共64頁(yè)。19如: process (clock_signal) begin if (clock_edge_condition) then signal_out = signal_in ; 其它(qt)時(shí)序語(yǔ)句 end if ; end

12、process ; 第19頁(yè)/共63頁(yè)第十九頁(yè),共64頁(yè)。202)在進(jìn)程中用wait until語(yǔ)句描述(mio sh)時(shí)鐘信號(hào),此 時(shí)進(jìn)程將沒(méi)有敏感信號(hào)。 如: process begin wait until (clock_edge_condition); signal_out = signal_in ; 其它時(shí)序語(yǔ)句 end process ; 第20頁(yè)/共63頁(yè)第二十頁(yè),共64頁(yè)。21 注意: a.在對(duì)時(shí)鐘邊沿說(shuō)明時(shí),一定要注明是上升沿 還是下降沿。 b.一個(gè)(y )進(jìn)程中只能描述一個(gè)(y )時(shí)鐘信號(hào)。 c.wait until 語(yǔ)句只能放在進(jìn)程的最前面或 最后面。3)時(shí)鐘邊沿的描述

13、時(shí)鐘上升沿: (clockevent and clock = 1) 時(shí)鐘下降沿: (clockevent and clock = 0) 第21頁(yè)/共63頁(yè)第二十一頁(yè),共64頁(yè)。222、觸發(fā)器的復(fù)位信號(hào)描述 1)同步復(fù)位:在只有以時(shí)鐘(shzhng)為敏感信號(hào)的進(jìn)程 中定義。 如: process (clock_signal) begin if (clock_edge_condition) then if (reset_condition) then signal_out = reset_value; else signal_out = signal_in ; end if ; end if ;

14、 end process ; 第22頁(yè)/共63頁(yè)第二十二頁(yè),共64頁(yè)。23 2)異步復(fù)位:進(jìn)程的敏感信號(hào)(xnho)表中除時(shí)鐘信 號(hào)外,還有復(fù)位信號(hào)(xnho)。 如:process (reset_signal, clock_signal) begin if (reset_condition) then signal_out = reset_value; elsif (clock_edge_condition) then signal_out = signal_in ; end if ; end process ; 第23頁(yè)/共63頁(yè)第二十三頁(yè),共64頁(yè)。24二) 常用(chn yn)時(shí)序電路

15、設(shè)計(jì) 1、觸發(fā)器(Flip_Flop) 1)D觸發(fā)器第24頁(yè)/共63頁(yè)第二十四頁(yè),共64頁(yè)。25異步置位/復(fù)位(f wi)D觸發(fā)器第25頁(yè)/共63頁(yè)第二十五頁(yè),共64頁(yè)。26同步(tngb)復(fù)位D觸發(fā)器第26頁(yè)/共63頁(yè)第二十六頁(yè),共64頁(yè)。27比較(bjio):異步置位的鎖存器(Latch)第27頁(yè)/共63頁(yè)第二十七頁(yè),共64頁(yè)。28 library ieee; use ieee.std_logic_1164.all; entity t_ff is port(t, clk : in std_logic; q : buffer std_logic); end t_ff; architectur

16、e rtl of t_ff is begin process(clk) begin if clkevent and clk=1 then if t=1 then q=not q; else q=q; end if; end process; end rtl;TClkQQ2)T觸發(fā)器第28頁(yè)/共63頁(yè)第二十八頁(yè),共64頁(yè)。29 library ieee; use ieee.std_logic_1164.all; entity rs_ff is port(r, s, clk : in std_logic; q, qn : buffer std_logic); end rs_ff; architec

17、ture rtl of rs_ff is begin process(r, s, clk) begin if clkevent and clk=1 then if s = 1 and r = 0 then q=0; qn=1; elsif s=0 and r=1 then q=1; qn=0; elsif s=0 and r=0 then q=q; qn=q n; else null; end if; end if; end process; end rtl;SClkQQRSRQQn00QQn01101001113)RS觸發(fā)器第29頁(yè)/共63頁(yè)第二十九頁(yè),共64頁(yè)。302、寄存器 8位串行輸入

18、、串行輸出(shch)移位寄存器:z0z1z2z3z4z5z6z7z8第30頁(yè)/共63頁(yè)第三十頁(yè),共64頁(yè)。318位移位寄存器描述(mio sh)(結(jié)構(gòu)描述(mio sh))第31頁(yè)/共63頁(yè)第三十一頁(yè),共64頁(yè)。32移位(y wi)寄存器仿真結(jié)果:第32頁(yè)/共63頁(yè)第三十二頁(yè),共64頁(yè)。333、計(jì)數(shù)器 計(jì)數(shù)器分為(fn wi):同步計(jì)數(shù)器 異步計(jì)數(shù)器(1)同步計(jì)數(shù)器 同步計(jì)數(shù)器指在時(shí)鐘脈沖(計(jì)數(shù)脈沖)的控 制下,構(gòu)成計(jì)數(shù)器的各觸發(fā)器狀態(tài)同時(shí)發(fā)生變化 的計(jì)數(shù)器。第33頁(yè)/共63頁(yè)第三十三頁(yè),共64頁(yè)。34帶允許(ynx)端的十二進(jìn)制計(jì)數(shù)器第34頁(yè)/共63頁(yè)第三十四頁(yè),共64頁(yè)。35可逆計(jì)數(shù)器(

19、加減(ji jin)計(jì)數(shù)器)第35頁(yè)/共63頁(yè)第三十五頁(yè),共64頁(yè)。36可逆計(jì)數(shù)器仿真(fn zhn)結(jié)果:第36頁(yè)/共63頁(yè)第三十六頁(yè),共64頁(yè)。37例:六十進(jìn)制(分、秒)計(jì)數(shù)器第37頁(yè)/共63頁(yè)第三十七頁(yè),共64頁(yè)。38第38頁(yè)/共63頁(yè)第三十八頁(yè),共64頁(yè)。3960進(jìn)制計(jì)數(shù)器仿真(fn zhn)結(jié)果:第39頁(yè)/共63頁(yè)第三十九頁(yè),共64頁(yè)。40(2)異步計(jì)數(shù)器 異步計(jì)數(shù)器又稱(chēng)為行波計(jì)數(shù)器,它的低位計(jì)數(shù)器的輸出作為高位計(jì)數(shù)器的時(shí)鐘信號(hào)。 異步計(jì)數(shù)器采用行波計(jì)數(shù),使計(jì)數(shù)延遲增加(zngji),計(jì)數(shù)器工作頻率較低。 描述異步計(jì)數(shù)器與同步計(jì)數(shù)器的不同主要體現(xiàn)在對(duì)各級(jí)時(shí)鐘脈沖的描述上。第40頁(yè)/共

20、63頁(yè)第四十頁(yè),共64頁(yè)。41例:由8個(gè)觸發(fā)器構(gòu)成(guchng)的行波計(jì)數(shù)器:第41頁(yè)/共63頁(yè)第四十一頁(yè),共64頁(yè)。42 基本(jbn)元件 dffr 的描述:第42頁(yè)/共63頁(yè)第四十二頁(yè),共64頁(yè)。43采用(ciyng)元件例化描述8位行波計(jì)數(shù)器:第43頁(yè)/共63頁(yè)第四十三頁(yè),共64頁(yè)。448 位行波計(jì)數(shù)器仿真(fn zhn)結(jié)果:第44頁(yè)/共63頁(yè)第四十四頁(yè),共64頁(yè)。454、序列(xli)信號(hào)發(fā)生器、檢測(cè)器 1)“01111110”序列(xli)發(fā)生器第45頁(yè)/共63頁(yè)第四十五頁(yè),共64頁(yè)。46第46頁(yè)/共63頁(yè)第四十六頁(yè),共64頁(yè)。47仿真(fn zhn)結(jié)果:第47頁(yè)/共63頁(yè)第四十七頁(yè),共64頁(yè)。482)序列信號(hào)(xnho)檢測(cè)器,檢測(cè)“01111110”第48頁(yè)/共63頁(yè)第四十八頁(yè),共64頁(yè)。49第49頁(yè)/共63頁(yè)第四十九頁(yè),共64頁(yè)。50第50頁(yè)/共63頁(yè)第五十頁(yè),共64頁(yè)。51第51頁(yè)/共63頁(yè)第五十一頁(yè),共64頁(yè)。52簡(jiǎn)潔(jinji)的序列信號(hào)檢測(cè)器:第52頁(yè)/共63頁(yè)第五十二頁(yè),共64頁(yè)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論