微型計(jì)算機(jī)原理實(shí)驗(yàn)(9學(xué)時(shí))-new_第1頁
微型計(jì)算機(jī)原理實(shí)驗(yàn)(9學(xué)時(shí))-new_第2頁
微型計(jì)算機(jī)原理實(shí)驗(yàn)(9學(xué)時(shí))-new_第3頁
微型計(jì)算機(jī)原理實(shí)驗(yàn)(9學(xué)時(shí))-new_第4頁
微型計(jì)算機(jī)原理實(shí)驗(yàn)(9學(xué)時(shí))-new_第5頁
已閱讀5頁,還剩65頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、江蘇大學(xué)電氣與信息工程學(xué)院 1.8086/80881.8086/8088系統(tǒng)環(huán)境認(rèn)識(shí)系統(tǒng)環(huán)境認(rèn)識(shí) 2 2學(xué)時(shí)學(xué)時(shí) 2.2.程序設(shè)計(jì)及調(diào)試程序設(shè)計(jì)及調(diào)試 2/32/3學(xué)時(shí)學(xué)時(shí) 3.3.中斷控制器中斷控制器82598259應(yīng)用應(yīng)用 2 2學(xué)時(shí)學(xué)時(shí) 4.4.并行接口并行接口82558255應(yīng)用應(yīng)用 2 2學(xué)時(shí)學(xué)時(shí) 5.5.定時(shí)定時(shí)/ /計(jì)數(shù)器計(jì)數(shù)器82538253應(yīng)用應(yīng)用 ( (選做選做) 2) 2學(xué)時(shí)學(xué)時(shí) 共共 8/108/10學(xué)時(shí)學(xué)時(shí)實(shí)驗(yàn)要求: 實(shí)驗(yàn)前預(yù)習(xí),提前編寫預(yù)習(xí)程序。實(shí)驗(yàn)前預(yù)習(xí),提前編寫預(yù)習(xí)程序。 實(shí)驗(yàn)時(shí)按學(xué)號(hào)對(duì)應(yīng)機(jī)號(hào)入座,并簽到,實(shí)驗(yàn)時(shí)按學(xué)號(hào)對(duì)應(yīng)機(jī)號(hào)入座,并簽到,做完實(shí)驗(yàn)請(qǐng)通知老師。做

2、完實(shí)驗(yàn)請(qǐng)通知老師。 實(shí)驗(yàn)時(shí)不要帶電觸摸芯片,不可隨意拔實(shí)驗(yàn)時(shí)不要帶電觸摸芯片,不可隨意拔插芯片。有要求接線的實(shí)驗(yàn),請(qǐng)務(wù)必先插芯片。有要求接線的實(shí)驗(yàn),請(qǐng)務(wù)必先斷電再接線。出現(xiàn)問題及時(shí)聯(lián)系老師。斷電再接線。出現(xiàn)問題及時(shí)聯(lián)系老師。 實(shí)驗(yàn)完整理好再離開。實(shí)驗(yàn)完整理好再離開。 注意室內(nèi)衛(wèi)生。注意室內(nèi)衛(wèi)生。實(shí)驗(yàn)一實(shí)驗(yàn)一: 8086系統(tǒng)環(huán)境認(rèn)識(shí)系統(tǒng)環(huán)境認(rèn)識(shí)一、實(shí)驗(yàn)?zāi)康囊弧?shí)驗(yàn)?zāi)康?.掌握在計(jì)算機(jī)上建立、編譯、連接、調(diào)試和運(yùn)行8086/8088匯編語言程序的步驟;2.熟悉FD88調(diào)試軟件的使用方法;3.了解FD88系統(tǒng)實(shí)驗(yàn)系統(tǒng)組成。 二、實(shí)驗(yàn)內(nèi)容二、實(shí)驗(yàn)內(nèi)容 計(jì)算16位二進(jìn)制Y中的1的個(gè)數(shù),并將結(jié)果存入COU

3、NT單元,已知數(shù)Y的地址存放在ADDR中。 已知數(shù):Y=0001000100010001B=1111H 結(jié)果:(COUNT)=4 程序見P.22。 更正:倒數(shù)第5行SHIFY應(yīng)為SHIFT三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟 1.開啟計(jì)算機(jī)進(jìn)入98系統(tǒng),從“開始”“程序”“MS-DOS方式” 2.進(jìn)入文件所在文件夾 F: CD FD88(FD88為子目錄名) 3. 創(chuàng)建源程序(*.ASM文件) 文件名中的類型為(.ASM),文件名須小于8個(gè)字符,只允許數(shù)字或字母。如dq1.asm F:FD88 Q 文件名.ASM 進(jìn)入編輯界面輸入程序; 按ESC鍵,選擇FILE菜單中的file 存盤 4. 用MASM文件

4、編譯產(chǎn)生目標(biāo)代碼.OBJ文件 F:FD88 MASM 文件名.ASM; ; 如源程序中有語法錯(cuò)誤則屏幕會(huì)提示出錯(cuò)信息,重新回到第3步進(jìn)行修改、編輯、存盤、編譯。5. 用LINK程序連接產(chǎn)生.EXE文件; F:FD88 LINK 文件名.OBJ; 在源程序中沒有定義堆棧段,有警告提示,可以忽略。注意: 第4步后若提示源程序中有語法錯(cuò)誤,源程序中有語法錯(cuò)誤,不能下一步,必須回第3步6. 進(jìn)入FD88調(diào)試軟件進(jìn)行.EXE文件調(diào)試, F:FD88 FD88/1(需先打開實(shí)驗(yàn)系統(tǒng)電源開關(guān)),其中1代表設(shè)備連接計(jì)算機(jī)串行口COM1。注意: 先連接好串行接口,再打開實(shí)驗(yàn)箱電源。切勿帶電插拔串口通訊線!實(shí)驗(yàn)箱

5、示意圖實(shí)驗(yàn)箱示意圖插上插上FD88調(diào)試軟件的使用:(1)連接成功連接成功,如何裝入裝入.EXE.EXE文件文件到FD88實(shí)驗(yàn)裝置中;(2)如何觀察各窗口信息觀察各窗口信息(程序窗,寄存器窗、存貯器窗等),分析各窗的內(nèi)容;(3)如何設(shè)置斷點(diǎn)設(shè)置斷點(diǎn),并學(xué)會(huì)帶斷點(diǎn)運(yùn)行程序斷點(diǎn)運(yùn)行程序;(4)如何觀察實(shí)驗(yàn)結(jié)果觀察實(shí)驗(yàn)結(jié)果,并分析其結(jié)果的正確性其結(jié)果的正確性;(5)如何修改指定單元修改指定單元內(nèi)的數(shù)據(jù)(已知數(shù)),再一次運(yùn)行程序運(yùn)行程序。(6)Alt-X退出FD88軟件,關(guān)閉實(shí)驗(yàn)箱電源。問答:nDSEG SEGMENTnADDR DW NUMBERnNUMBER DW 1111HnCOUNT DW ?n

6、DSEG ENDSY?結(jié)果結(jié)果本次實(shí)驗(yàn)程序調(diào)試成功,請(qǐng)每一位同學(xué)講述正確本次實(shí)驗(yàn)程序調(diào)試成功,請(qǐng)每一位同學(xué)講述正確的結(jié)果是什么,的結(jié)果是什么, DS:0000DS:0000DS:0005DS:0005內(nèi)存放的值內(nèi)存放的值是多少?是多少?n四、實(shí)驗(yàn)報(bào)告要求四、實(shí)驗(yàn)報(bào)告要求n1. 寫出FD88調(diào)試軟件的主要操作(結(jié)合實(shí)驗(yàn)內(nèi)容); n2. 寫出如何修改Memory中Y值的操作步驟;n3. 思考:在實(shí)驗(yàn)中不采用帶斷點(diǎn)運(yùn)行,而用全速運(yùn)行(不設(shè)斷點(diǎn))有何不同?會(huì)出現(xiàn)什么現(xiàn)象? ? n五、預(yù)習(xí)要求五、預(yù)習(xí)要求n認(rèn)真編寫程序(實(shí)驗(yàn)二中1,2),寫好上機(jī)操作步驟及需要的測試數(shù)據(jù)。連接成功連接成功裝載文件裝載文件

7、_F3_F3觀察各窗口信息觀察各窗口信息主要觀察程序CS窗、R窗等設(shè)置斷點(diǎn)設(shè)置斷點(diǎn) 在程序代碼窗中找到程序最后一條語句,按下Ctrl-F8設(shè)置斷點(diǎn),該行處由黃變紅。帶斷點(diǎn)運(yùn)行程序帶斷點(diǎn)運(yùn)行程序_F9_F9按下F9,該行處由紅變白。在R窗中ip由0000變?yōu)?022,分析程序知CX內(nèi)存放Y的個(gè)數(shù)。實(shí)驗(yàn)結(jié)果實(shí)驗(yàn)結(jié)果 分析程序知:結(jié)果放在DS段中的COUNT內(nèi),點(diǎn)中存儲(chǔ)Memory窗,按下Ctrl-F2,輸入地址DS:00,查看DS:0000DS:0005內(nèi)的內(nèi)容。分析分析實(shí)驗(yàn)結(jié)果實(shí)驗(yàn)結(jié)果 通過點(diǎn)擊菜單Memory Display,切換Memory窗中數(shù)據(jù)顯示字節(jié)DB或字DW。修改指定單元值(輸入修

8、改指定單元值(輸入Y Y) 移動(dòng)光標(biāo)到指定單元處,修改數(shù)據(jù)。運(yùn)行程序運(yùn)行程序先按下F5(或修改R窗中的ip=0000);再按下F9帶斷點(diǎn)運(yùn)行;分析Memory窗中數(shù)據(jù)顯示。實(shí)驗(yàn)二實(shí)驗(yàn)二: :程序設(shè)計(jì)及調(diào)試程序設(shè)計(jì)及調(diào)試 n一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康膎1.掌握分支、循環(huán)等基本程序結(jié)構(gòu)及程序設(shè)計(jì)方法。n2.掌握用FD88調(diào)試軟件調(diào)試程序。n二、實(shí)驗(yàn)二、實(shí)驗(yàn)內(nèi)容內(nèi)容n1. 編寫一程序,把20個(gè)字節(jié)的數(shù)組分成正數(shù)組和負(fù)數(shù)組,并分別計(jì)算兩個(gè)數(shù)組中數(shù)據(jù)的個(gè)數(shù)。n2. 編寫一程序?qū)崿F(xiàn)將32位數(shù)左移4位,并將高四位存入CH中,已知32位數(shù)存放在DX:AX中。分析:題1分析: 已知一個(gè)含已知一個(gè)含2020個(gè)字節(jié)數(shù)

9、據(jù)的數(shù)組(可正可負(fù)),開個(gè)字節(jié)數(shù)據(jù)的數(shù)組(可正可負(fù)),開辟辟2020個(gè)字節(jié)正數(shù)組的存儲(chǔ)空間,開辟個(gè)字節(jié)正數(shù)組的存儲(chǔ)空間,開辟2020個(gè)字節(jié)負(fù)數(shù)組的個(gè)字節(jié)負(fù)數(shù)組的存儲(chǔ)空間,開辟存儲(chǔ)空間,開辟1 1個(gè)字節(jié)存儲(chǔ)空間存儲(chǔ)統(tǒng)計(jì)的正數(shù)個(gè)數(shù),個(gè)字節(jié)存儲(chǔ)空間存儲(chǔ)統(tǒng)計(jì)的正數(shù)個(gè)數(shù),開辟開辟1 1個(gè)字節(jié)存儲(chǔ)空間存儲(chǔ)統(tǒng)計(jì)的負(fù)數(shù)個(gè)數(shù)。個(gè)字節(jié)存儲(chǔ)空間存儲(chǔ)統(tǒng)計(jì)的負(fù)數(shù)個(gè)數(shù)。 取數(shù)指針用:取數(shù)指針用:SISI、DIDI、BXBX LEA SI,BLOCK; BLOCK LEA SI,BLOCK; BLOCK偏移地址取到偏移地址取到SISI中。中。 判數(shù)是正還是負(fù),只要判其最高位為判數(shù)是正還是負(fù),只要判其最高位為1 1?題2分析

10、: 3232位數(shù)存放在位數(shù)存放在DXDX:AXAX中,即中,即DXDX存放高字、存放高字、AXAX存放低字。存放低字。 左移左移4 4位實(shí)現(xiàn):通過把位實(shí)現(xiàn):通過把 CHCH:DXDX:AXAX寄存器內(nèi)容(寄存器內(nèi)容(4040位)位)左移左移1 1位,再循環(huán)移位共位,再循環(huán)移位共4 4次。次。 3232位數(shù)左移位數(shù)左移1 1位,位,AXAX邏輯左移邏輯左移1 1位,最高位移入位,最高位移入CFCF, DXDX帶進(jìn)位左移帶進(jìn)位左移1 1位,位, CHCH帶進(jìn)位左移帶進(jìn)位左移1 1位。位。邏輯左移邏輯左移 SHL OPRDSHL OPRD,CNTCNT帶進(jìn)位位的循環(huán)左移帶進(jìn)位位的循環(huán)左移RCL OP

11、RDRCL OPRD,CNTCNT編程框架 DATA SEGMENTDATA SEGMENT DATA ENDS DATA ENDS CODE SEGMENT CODE SEGMENT MAIN PROC FARMAIN PROC FAR ASSUME CS ASSUME CS:CODECODE,DSDS:DATADATA START START: PUSH DSPUSH DS XOR AX XOR AX,AXAX PUSH AX PUSH AX MOV AX MOV AX,DATADATA MOV DS MOV DS,AXAX RET RET MAIN ENDPMAIN ENDP CODE

12、ENDS CODE ENDS END START END STARTn參考程序n分析結(jié)果問答一:nDATA SEGMENTnDAT DB 1,-1,2,-2,3,-3,4,-4,5,-5,6,-6,7,-7,8,9,10,-8,-9,-10nDATZ DB 20 DUP(?)nDATF DB 20 DUP(?)nDATA ENDS結(jié)合結(jié)合你的數(shù)據(jù)段的定義你的數(shù)據(jù)段的定義,分析并回答:,分析并回答: 已知數(shù)、正數(shù)組、正數(shù)個(gè)數(shù)、負(fù)數(shù)組、負(fù)數(shù)已知數(shù)、正數(shù)組、正數(shù)個(gè)數(shù)、負(fù)數(shù)組、負(fù)數(shù)個(gè)數(shù)存放位置及內(nèi)容是什么?個(gè)數(shù)存放位置及內(nèi)容是什么?實(shí)驗(yàn)題實(shí)驗(yàn)題1:問答二:程序運(yùn)行前:程序運(yùn)行前:CH、DX、AX各寄存

13、器的內(nèi)容;各寄存器的內(nèi)容;程序運(yùn)行后:程序運(yùn)行后: CH、DX、AX各寄存器的內(nèi)容;各寄存器的內(nèi)容; 并分析其正確性。并分析其正確性。 實(shí)驗(yàn)題實(shí)驗(yàn)題2:n三、實(shí)驗(yàn)步驟三、實(shí)驗(yàn)步驟n在計(jì)算機(jī)上建立程序、編譯、連接、運(yùn)行,驗(yàn)證程序的正確性。n四、實(shí)驗(yàn)報(bào)告要求四、實(shí)驗(yàn)報(bào)告要求n1. 寫出程序清單并畫出程序流程圖。n2. 寫出數(shù)據(jù)及調(diào)試結(jié)果。(觀察地址指針、計(jì)數(shù)器變化) 實(shí)驗(yàn)三:中斷控制器中斷控制器8259實(shí)驗(yàn)實(shí)驗(yàn) n一、實(shí)驗(yàn)?zāi)康膎1.了解可屏蔽中斷的響應(yīng)和處理過程n2.掌握8259使用及中斷服務(wù)程序的設(shè)計(jì)。n二、實(shí)驗(yàn)內(nèi)容n使用IRQ1,用單脈沖信號(hào)模擬外部中斷信號(hào),每一個(gè)單脈沖信號(hào)顯示一個(gè)字符“M”

14、,共10次退出程序。n 三、中斷控制器8259A芯片JJ4JJ5一片8259可管理8級(jí)中斷。n插孔JJ5用于級(jí)聯(lián)方式,可管理更多的中斷。n插孔JJ4是8級(jí)中斷請(qǐng)求信號(hào)的輸入插孔,在8個(gè)中斷請(qǐng)求中IRQ0已連接8253通道0輸出,IRQ4已用作8250中斷申請(qǐng),我們可以使用其余6個(gè)中斷。本次實(shí)驗(yàn)中用IRQ1號(hào)中斷。n8259A芯片使用地址20H和21H。1. 硬件接線: 將單脈沖發(fā)生器的輸出端(J23)接JJ4-IRQ1。2. 在計(jì)算機(jī)上建立程序、匯編、連接、調(diào)試運(yùn)行程序。注意: 用調(diào)試軟件FD88時(shí),要點(diǎn)擊全屏圖標(biāo); 調(diào)試時(shí)可以不要設(shè)置斷點(diǎn),采用連續(xù)運(yùn)行方式運(yùn)行程序; 按動(dòng)輸出脈沖的按鈕,實(shí)時(shí)

15、看現(xiàn)象。 按下中斷ABORT按鈕或復(fù)位RESET按鈕,停止程序運(yùn)行。 四、實(shí)驗(yàn)步驟實(shí)驗(yàn)箱示意接線圖五、編程說明1、可屏蔽中斷的響應(yīng)和處理過程中斷方式的實(shí)現(xiàn)一般需要經(jīng)歷下述過程:中斷方式的實(shí)現(xiàn)一般需要經(jīng)歷下述過程:主程序主程序 中斷服務(wù)程序中斷服務(wù)程序中斷請(qǐng)求中斷請(qǐng)求中斷響應(yīng)中斷響應(yīng)中斷處理中斷處理中斷返回中斷返回8259A可對(duì)多個(gè)可屏蔽中斷源進(jìn)行優(yōu)先級(jí)控制,一片可對(duì)多個(gè)可屏蔽中斷源進(jìn)行優(yōu)先級(jí)控制,一片8259A可管理可管理8級(jí)可屏蔽中斷。級(jí)可屏蔽中斷。8 8259259A A的編程使用的編程使用 使用使用82598259A A進(jìn)行中斷控制時(shí),進(jìn)行中斷控制時(shí),CPUCPU通過通過OUTOUT指令

16、向指令向82598259A A內(nèi)部寄存器寫入相應(yīng)的內(nèi)部寄存器寫入相應(yīng)的命令字命令字,8 8259259A A按命令字按命令字指定的方式工作。指定的方式工作??刂齐娐房刂齐娐稩CW1OCW1ICW2ICW3ICW4OCW2OCW3初始化編程初始化編程操作方操作方式編程式編程一旦寫入,工作過程一旦寫入,工作過程中不再改變。中不再改變??啥啻胃膶懙目啥啻胃膶懙木幊陶f明2 2、主程序:、主程序: 1 1)將中斷子程序的入口地址置入將中斷子程序的入口地址置入中斷矢量表中斷矢量表的相應(yīng)表項(xiàng)的相應(yīng)表項(xiàng) 2 2)82598259初始化初始化ICW1-ICW2-ICW4ICW1-ICW2-ICW4 3 3)操作

17、命令字)操作命令字OCW1OCW1 4 4)設(shè)置中斷次數(shù),開中斷)設(shè)置中斷次數(shù),開中斷 5 5)等待中斷)等待中斷 6 6)判斷)判斷1010次中斷到結(jié)束程序,未到繼續(xù)第次中斷到結(jié)束程序,未到繼續(xù)第5 5步步3 3、中斷服務(wù)程序、中斷服務(wù)程序 1 1)顯示)顯示M M字符字符 moval,M movah,1 int 14h ;系統(tǒng)功能調(diào)用;系統(tǒng)功能調(diào)用 2 2)次數(shù)減)次數(shù)減1 1 3 3)發(fā)出中斷結(jié)束命令發(fā)出中斷結(jié)束命令OCW2OCW2 4 4)中斷返回)中斷返回 程序框架按中斷類型碼從小到大順序依次存放按中斷類型碼從小到大順序依次存放各類中斷(各類中斷(256種)的中斷向量(中種)的中斷向

18、量(中斷服務(wù)程序入口地址)。斷服務(wù)程序入口地址)。 在內(nèi)存的在內(nèi)存的00000H003FFH地址范圍地址范圍內(nèi)內(nèi),大小為,大小為1KB。每個(gè)中斷向量占用每個(gè)中斷向量占用4 Bytes,低字為,低字為段內(nèi)偏移地址,高字為段基址。段內(nèi)偏移地址,高字為段基址。中斷類型碼中斷類型碼與中斷向量地址的關(guān)系與中斷向量地址的關(guān)系: 設(shè)某中斷的設(shè)某中斷的中斷類型碼中斷類型碼為為n 中斷向量在中斷向量在IVT中的存放地址中的存放地址(向量地址)(向量地址)4n中斷向量表IVT中斷向量地址中斷向量地址 類型類型0中斷向量中斷向量00000H類型類型1中斷向量中斷向量00008H00004H段地址段地址偏移地址偏移地

19、址003FFH類型類型2中斷向量中斷向量FFFFFH類型類型255中斷向量中斷向量003FCH內(nèi)存內(nèi)存n在在82598259中中ICW2ICW2確定確定中斷中斷類型碼類型碼nICW2ICW2值(中斷類型碼基值)必須是能被值(中斷類型碼基值)必須是能被8 8整除整除的值,即其低的值,即其低3 3位必須為位必須為0 0。n系統(tǒng)采用系統(tǒng)采用ICW2ICW200001000B00001000Bn定義類型碼高定義類型碼高5 5位為位為0000100001,低,低3 3位由引入中斷位由引入中斷請(qǐng)求的引腳請(qǐng)求的引腳IR0IR0IR7IR7決定。決定。IR0IR0IR7IR7分別對(duì)應(yīng)分別對(duì)應(yīng)000000111

20、 111 n實(shí)驗(yàn)用實(shí)驗(yàn)用IR1 IR1 中斷,中斷類型碼中斷,中斷類型碼為為n n9 9n在在IVTIVT中的存放地址中的存放地址0 0003600360003900039 n由于所有中斷服務(wù)程序的入口地址都放在中斷向量表由于所有中斷服務(wù)程序的入口地址都放在中斷向量表中,首先需將類型碼轉(zhuǎn)換為向量地址中,首先需將類型碼轉(zhuǎn)換為向量地址4 n 向量地址向量地址查表取得中斷向量查表取得中斷向量字單元(字單元(4 n,4 n + 1) 字單元(字單元(4 n + 2,4 n + 3) IP CSCS : IP即可轉(zhuǎn)入相應(yīng)中斷服務(wù)程序執(zhí)行。即可轉(zhuǎn)入相應(yīng)中斷服務(wù)程序執(zhí)行。CSEGSEGMENT ASSUME

21、CS:CSEGSTART: XOR AX,AX MOVDS,AX LEAAX,IRQ1 MOVDS:0024H,AX MOVAX,CS MOVDS:0026H,AX : :IRQ1: :IRETCSEG ENDSENDSTART主程序中斷服務(wù)程序8259初始化nICWICW的寫入順序不可顛倒的寫入順序不可顛倒n寫入地址要求:寫入地址要求:nICW1ICW1必須寫入偶地址必須寫入偶地址端口:端口:20H20HnICW2ICW2ICW4ICW4必須寫入必須寫入奇地址端口奇地址端口:21H21HICW1nLTIMLTIM :中斷觸發(fā)方式n=1 =1 高電平觸發(fā)高電平觸發(fā)n=0 =0 上升沿觸發(fā)(系統(tǒng)

22、采用)上升沿觸發(fā)(系統(tǒng)采用)nSNGLSNGL :系統(tǒng)使用單片還是多片8259A8259An=1 =1 單片(系統(tǒng)采用)單片(系統(tǒng)采用)n=0 =0 多片(級(jí)聯(lián))多片(級(jí)聯(lián))nIC4 IC4 :是否寫入ICW4n=1 =1 寫(系統(tǒng)采用)寫(系統(tǒng)采用)n=0 =0 不寫不寫 D7D6 D5 D4 D3 D2 D1 D0 x x x 1 LTIM x SNGL IC4ICW1的特征位不用,可設(shè)為0ICW100010011BMOV AL,13H OUT 20H,ALICW4nSFNM: 嵌套方式嵌套方式=1 特殊全嵌套方式特殊全嵌套方式(多片級(jí)聯(lián)多片級(jí)聯(lián))=0 全嵌套方式(系統(tǒng)采用)全嵌套方式(系

23、統(tǒng)采用)nAEOI: 中斷結(jié)束方式=1 自動(dòng)自動(dòng)EOI方式方式=0 非自動(dòng)非自動(dòng)EOI方式(系統(tǒng)采用)方式(系統(tǒng)采用) D7D6 D5 D4 D3 D2 D1 D0 0 00 SFNMBUF M/S AEOI 1 BUF: 連接系統(tǒng)總線方式 M/S: 主/從緩沖選擇BUF M/S 1 1 緩沖方式緩沖方式/主片主片 1 0 緩沖方式緩沖方式/從片從片 0 x 非緩沖方式非緩沖方式/正常正常系統(tǒng)采用:系統(tǒng)采用:BUF=1n只有在ICW1的IC4位(D0) 0 ,才設(shè)置ICW4。ICW400001001BMOV AL,09H OUT 21H,AL操作方式編程n8259A的操作命令字的操作命令字OC

24、Wn初始化后在用戶程序中寫入nOCW的寫入順序可任意n寫入地址要求:nOCW1必須寫入奇地址端口:必須寫入奇地址端口:21HnOCW2 、OCW3必須寫入偶地址端口必須寫入偶地址端口:20H OCW1 屏蔽或開放中斷屏蔽或開放中斷nMi=1 屏蔽中斷IRi =0 開放IRi中斷 D7D6 D5 D4 D3 D2 D1 D0 M7 M6 M5 M4 M3 M2 M1 M0nR: R: 優(yōu)先級(jí)自動(dòng)循環(huán)位優(yōu)先級(jí)自動(dòng)循環(huán)位 0 0,中斷優(yōu)先級(jí)固定(,中斷優(yōu)先級(jí)固定(IRIR0 0最高,最高,IRIR7 7最低)最低) 1 1,優(yōu)先級(jí)自動(dòng)循環(huán),優(yōu)先級(jí)自動(dòng)循環(huán)nSL: SL: 決定決定L L2 2L L1

25、 1L L0 0是否有效的標(biāo)志是否有效的標(biāo)志 1 1,有效;,有效;0 0,無效,無效nEOI:EOI:中斷結(jié)束命令位中斷結(jié)束命令位 1 1,復(fù)位當(dāng)前中斷級(jí)在,復(fù)位當(dāng)前中斷級(jí)在ISRISR中的對(duì)應(yīng)位。中的對(duì)應(yīng)位。 D7D6 D5 D4 D3 D2 D1 D0 R SL EOI 0 0 L2 L1 L0特征位特征位nL2L1L0: 當(dāng)當(dāng)OCW2的的SL,EOI為為11,L2L1L0指出具體清除當(dāng)前指出具體清除當(dāng)前ISR中的哪一位;中的哪一位;當(dāng)當(dāng)OCW2的的R,SL為為11,L2L1L0指出哪個(gè)中斷優(yōu)先級(jí)最低。指出哪個(gè)中斷優(yōu)先級(jí)最低。OCW2 設(shè)置中斷優(yōu)先級(jí)循環(huán)方式和中斷結(jié)束方式設(shè)置中斷優(yōu)先級(jí)循

26、環(huán)方式和中斷結(jié)束方式nOCW2的作用的作用n中斷結(jié)束命令(EOI命令):EOI=1 一般EOI命令: R=0,SL=0,EOI=1, MOV AL,00100000B(20H ) ;EOI命令送AL OUT 20H,AL IRET五、實(shí)驗(yàn)報(bào)告五、實(shí)驗(yàn)報(bào)告n寫出程序清單并畫出程序流程圖。實(shí)驗(yàn)四:可編程并行可編程并行I/O接口接口 8255n一、實(shí)驗(yàn)?zāi)康囊?、?shí)驗(yàn)?zāi)康膎掌握8255A的基本使用及設(shè)計(jì)方法。 n二、實(shí)驗(yàn)二、實(shí)驗(yàn)內(nèi)容內(nèi)容 采用8255的B口為輸入,A口為輸出,輸入與輸出分別用開關(guān)及發(fā)光二極管代表。要求: 當(dāng)輸入不全為0時(shí),輸入與輸出保持一致; 當(dāng)輸入為全0時(shí),A口輸出發(fā)光二極管閃爍報(bào)警信

27、號(hào)。三、實(shí)驗(yàn)設(shè)計(jì)n8255A是可編程外圍通用并行接口芯片, 它具有 A、B、C 三個(gè)并行接口,能在三種方式下工作: 方式 0:基本輸入輸出式; 方式 1:選通輸入輸出式; 方式 2:雙向選通工作方式。 工作方式控制字:用來設(shè)定通道的工作方式及數(shù)據(jù)的傳送方向的。適用于適用于無條件傳送無條件傳送和查詢方式的接口電路和查詢方式的接口電路8088/8086的輸入輸出指令n8088只能通過輸入輸出指令與外設(shè)進(jìn)行數(shù)據(jù)交換;呈現(xiàn)給程序員的外設(shè)是端口(Port),即I/O地址。有直接、間接兩種尋址方式。n對(duì)大于0FFH的端口只能采用間接尋址方式。nIN AL, i8 ;直接尋址,字節(jié)輸入nOUT i8, AL

28、 ;直接尋址,字節(jié)輸出8255A的編程 工作方式控制字放在程序的開始部分(即初始化8255)。采用控制I/O地址:A1A011流程圖: 使使 8255A8255A端口端口 B B 工作在方式工作在方式 0 0 ,作為輸入口,讀取作為輸入口,讀取 K1 K4 K1 K4 個(gè)開個(gè)開關(guān)量(開關(guān)推上讀取關(guān)量(開關(guān)推上讀取0 0););端口端口A A 工作在方式工作在方式0 0, 作為輸出口作為輸出口, ,發(fā)光二極管發(fā)光二極管L1 L1 L4L4指示(輸出指示(輸出0 0發(fā)發(fā)光二極管亮)。光二極管亮)。n閃爍編程思想: 燈亮延時(shí)燈滅延時(shí)。 實(shí)現(xiàn)燈亮,將A口輸出0。n延時(shí)程序: MOV CX,0FFFFH

29、 L1: LOOP L1試設(shè)計(jì)試設(shè)計(jì)1 12 2種不同閃爍形式種不同閃爍形式四、實(shí)驗(yàn)步驟實(shí)驗(yàn)步驟n1. 硬件接線:8255的PA口(J32)連接四個(gè)發(fā)光二極管L (J20) 8255的PB口(J31)連接四個(gè)拔動(dòng)開關(guān)K (J21) 8255的片選端CS (J29)連接I/O地址譯碼插座(JJ11-1)。取得了8255基地址為08H。 注意:實(shí)驗(yàn)時(shí)僅利用8255PA、PB口的低四位或高四位,編程做相應(yīng)修改。PAPA口、口、PBPB口、控制字口地址分別為口、控制字口地址分別為08H08H、09H09H、0BH0BH。實(shí)驗(yàn)箱示意接線圖實(shí)驗(yàn)箱示意接線圖n2. 在計(jì)算機(jī)上建立程序、編譯、連接、調(diào)試運(yùn)行程序,用連續(xù)運(yùn)行的方法看現(xiàn)象。n(本題程序較簡單,但連線較多,要細(xì)心)。提示:用調(diào)試軟件FD88時(shí),要點(diǎn)擊全屏圖標(biāo);裝載完程序,不要設(shè)置斷點(diǎn),采用連續(xù)運(yùn)行方式運(yùn)行程序;撥動(dòng)開關(guān),觀看現(xiàn)象。按下中斷ABO

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論