![基于vhdl串口設(shè)計說明書_第1頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/4/c8d1a5b6-785b-4976-b923-be741d6d5204/c8d1a5b6-785b-4976-b923-be741d6d52041.gif)
![基于vhdl串口設(shè)計說明書_第2頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/4/c8d1a5b6-785b-4976-b923-be741d6d5204/c8d1a5b6-785b-4976-b923-be741d6d52042.gif)
![基于vhdl串口設(shè)計說明書_第3頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/4/c8d1a5b6-785b-4976-b923-be741d6d5204/c8d1a5b6-785b-4976-b923-be741d6d52043.gif)
![基于vhdl串口設(shè)計說明書_第4頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/4/c8d1a5b6-785b-4976-b923-be741d6d5204/c8d1a5b6-785b-4976-b923-be741d6d52044.gif)
![基于vhdl串口設(shè)計說明書_第5頁](http://file3.renrendoc.com/fileroot_temp3/2022-3/4/c8d1a5b6-785b-4976-b923-be741d6d5204/c8d1a5b6-785b-4976-b923-be741d6d52045.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、 EDA課程設(shè)計 題目:基于VHDL的串口設(shè)計院系:機(jī)電學(xué)院班級:電氣103 姓名:張明軍 學(xué)號:20100744113目錄 1、任務(wù)要求 1、1課題要求 1、2設(shè)計目標(biāo)2、系統(tǒng)設(shè)計 2、1層次模塊劃分 2、2 分頻模塊的設(shè)計 2、3發(fā)送電路的波特率發(fā)生器的設(shè)計 2、4 接受模塊的設(shè)計 2、5 接收電路的波特率發(fā)生器和采樣時鐘的設(shè)計3、 VHDL的描述思路4、 總體電路的描述5、 系統(tǒng)仿真驗證 5、1 發(fā)送電路的波特率發(fā)生器的VHDL的仿真 5、2發(fā)送模塊VHDL的仿真 5、3 特率發(fā)生器和采樣時鐘的設(shè)計的VHDL仿真 5、4 接受模塊的描述的VHDL仿真 5、5 綜合仿真6、 結(jié)果與分析 6
2、.1實(shí)現(xiàn)功能說明 6.2器件資源分析 6.3故障和問題分析7、總結(jié)體會8、參考文獻(xiàn)9、附錄基于VHDL的串口設(shè)計任務(wù)書摘要:實(shí)驗設(shè)計了基于VHDL描述的RS232串口通信控制器,通過串口調(diào)試工具實(shí)現(xiàn)CPLD向單片機(jī)的數(shù)據(jù)發(fā)送和單片機(jī)的數(shù)據(jù)接收。完成的功能為實(shí)現(xiàn)收發(fā)一幀10個bit、波特率為4800的串口通信控制器。實(shí)驗的重心放在了RS232串口通信控制器發(fā)送模塊和接收模塊的設(shè)計,采用了自頂向下的思路進(jìn)行設(shè)計。報告中給出了完整的設(shè)計思路和過程,并將系統(tǒng)分模塊進(jìn)行了詳細(xì)的設(shè)計,給出了VHDL語言描述。完成了核心模塊以及系統(tǒng)整體的仿真驗證。最終下載到實(shí)驗板上測試通過。1、 任務(wù)要求1、1課題要求(1
3、)用RS232實(shí)現(xiàn)與單片機(jī)之間的數(shù)據(jù)通信,單片機(jī)上用串口工具接收;(2)CPLD每1秒鐘發(fā)送1個字節(jié)的數(shù)據(jù)到單片機(jī);(3)所發(fā)送的數(shù)據(jù)用實(shí)驗箱上的8個開關(guān)輸入;(4)設(shè)置發(fā)送允許控制;(5)數(shù)據(jù)格式:1位起始位、8位數(shù)據(jù)位、1位停止位;(6)數(shù)據(jù)傳輸速率:4800波特。1、2設(shè)計目標(biāo) 根據(jù)課題要求,實(shí)驗中將目標(biāo)進(jìn)行了細(xì)化,敘述如下:設(shè)定數(shù)據(jù)幀格式為10bit,其中第一位為起始位,定位低電平,8位數(shù)據(jù)位,1位結(jié)無數(shù)據(jù)傳輸時為高電平;2、 系統(tǒng)設(shè)計2、1層次模塊劃分系統(tǒng)劃分為二層,自頂向下分別是頂層模塊、控制器子模塊。頂層描述了整個系統(tǒng)的功能和運(yùn)行;控制器子模塊實(shí)現(xiàn)系統(tǒng)中各個獨(dú)立而完整的功能部分。
4、每個層次可用一個或多個具體模塊加以實(shí)現(xiàn)。頂層模塊:作用為集成子模塊功能,控制子模塊的連接和耦合信號。由各子模塊定義成的庫元件組成。中層模塊:具體實(shí)現(xiàn)頂層模塊的描述,包括的功能主要是串行發(fā)送電路的波特率發(fā)生器,發(fā)送模塊和接收模塊地區(qū)、接受模塊的分頻部分和驗收。 發(fā)送電路的波特率發(fā)生器,將16KHz的時鐘分頻為4800Hz的時鐘。輸入:16KHz晶振信號。輸出:送往發(fā)送模塊的4800Hz的信號。發(fā)送模塊。向PC機(jī)發(fā)送信號。輸入:4800Hz時鐘信號、發(fā)送數(shù)據(jù)。輸出:輸入數(shù)據(jù)的串行輸出。接收電路的波特率發(fā)生器和采樣時鐘的設(shè)計,提高接收的準(zhǔn)確性,減少誤碼率 輸入:16KHz晶振信號。 輸出:送往接受模
5、塊的信號和檢驗?zāi)K。接收模塊,檢測接收端,若檢測到低電平則開始接收數(shù)據(jù)輸入:CPLD發(fā)送的數(shù)據(jù)。輸出:接收到的數(shù)據(jù)。以上模塊分別生成符號文件,最后在頂層模塊中進(jìn)行連接。2、2 分頻模塊的設(shè)計 由于UART是異步傳輸,沒有傳輸同步時鐘。為了能保證數(shù)據(jù)傳輸?shù)恼_性,UART采用16倍數(shù)據(jù)波特率的時鐘進(jìn)行采樣。每個數(shù)據(jù)有16個時鐘采樣,取中間的采樣值,以保證采樣不會滑碼或誤碼。一般UART一幀的數(shù)據(jù)位數(shù)為8,這樣即使每個數(shù)據(jù)有一個時鐘的誤差,接收端也能正確地采樣到數(shù)據(jù)。這里采用常用的數(shù)據(jù)波特率為9600bps,則所需時鐘的頻率為16*9600。系統(tǒng)時鐘為50MHz,則分頻系數(shù)為50000000/(1
6、6*9600) =325.52,取整為325。分頻器實(shí)現(xiàn)相對簡單,這里對其設(shè)計流程圖不做詳細(xì)介紹。只是將設(shè)計過程和結(jié)果簡述如下:首先用VHDL語言進(jìn)行設(shè)計輸入,并生成模塊文件如圖2-1所示,其中clk為50M系統(tǒng)時鐘輸入,clkout為325分頻后時鐘輸出。圖2-1 分頻模塊 然后建立波形文件,對以上模塊進(jìn)行時序仿真,仿真結(jié)果如圖2-2所示,方正結(jié)果說明,分頻輸出實(shí)現(xiàn)了對輸入的325分頻,分頻模塊設(shè)計正確。圖2-2 分頻模塊仿真結(jié)果2、3 發(fā)送電路的波特率發(fā)生器的設(shè)計 要產(chǎn)生4800 波特率,要有一個不低于4800Hz的時鐘才可以。為產(chǎn)生高精度的時鐘, 我選了16KHz的晶振來提供外部時鐘。當(dāng)
7、然,你也可以選其它頻率的時鐘來產(chǎn)生4800Hz的時鐘。對于16KHz 時鐘,需要設(shè)計一個13 進(jìn)制的分頻器來產(chǎn)生4800 波特率的時鐘信號。 發(fā)送過程:空閑狀態(tài),線路處于高電平;當(dāng)受到發(fā)送數(shù)據(jù)指令后,拉低線路一個數(shù)據(jù)位的時間T,接著數(shù)據(jù)按地位到高位依次發(fā)送,數(shù)據(jù)發(fā)送完畢后,接著發(fā)送停止位(停止位為高電平),一幀數(shù)據(jù)發(fā)送結(jié)束。(1) 模塊流程圖根據(jù)以上發(fā)送過程,發(fā)送模塊算法示意圖設(shè)計如圖2-3所示。開始wrsig=1Nidle=0YN發(fā)送數(shù)據(jù)Y停止位N結(jié)束Y圖2-3 UART發(fā)送數(shù)據(jù)算法示意圖(2)生成模塊文件 新建一原理圖文件,將VHDL源文件生成對應(yīng)的模塊文件如圖2-4所示,其中clk為時鐘
8、輸入,datain為需要發(fā)送的數(shù)據(jù)輸入,wrsig為發(fā)送命令輸入,idle為忙閑信號輸出,tx為串行數(shù)據(jù)輸出端。 圖2-4 UART發(fā)送模塊圖2-5 UART發(fā)送模塊仿真原理圖2、4 接受模塊的設(shè)計根據(jù)采用的幀格式,需要發(fā)送的數(shù)據(jù)為10 位(1位開始位、8 位數(shù)據(jù)位、1 位停止位) ,在發(fā)送完這10位后,就應(yīng)該停止發(fā)送,并使發(fā)送端電平處于邏輯1 ,然后等候下次的發(fā)送.接收電路比發(fā)送電路要復(fù)雜的多,接收電路要時實(shí)檢測起始位的到來,一旦檢測到起始位到,就要將這一幀數(shù)據(jù)接收下來。串行接收電路首先要能判斷接收數(shù)據(jù)的到來,即每一幀的開始,然后對數(shù)據(jù)進(jìn)行3 次采樣,最后判決輸出。為簡化設(shè)計,幀格式仍然采用
9、:1 位開始位+ 8 位數(shù)據(jù)位+ 1 位停止位。 UART接收模塊的功能:時時檢測線路,當(dāng)線路產(chǎn)生下降沿時,即認(rèn)為線路有數(shù)據(jù)傳輸,啟動接收數(shù)據(jù)進(jìn)程進(jìn)行接收,按從低位到高位接收數(shù)據(jù)。(1) 模塊流程圖 根據(jù)以上描述的接收模塊的功能,可將接收模塊算法示意圖設(shè)計如圖2-6所示。開始rx=0Nidle=0YN接收數(shù)據(jù)Y停止位N結(jié)束Y圖2-6 接收模塊算法示意圖(2) 生成模塊文件 新建一原理圖文件,將VHDL源文件生成對應(yīng)的模塊文件如圖4.10所示,其中clk為時鐘輸入,rx為需要串行數(shù)據(jù)輸入,dataout為并行輸出,rdsig為忙閑信號輸出。圖2-7 UART接收模塊(3)波形仿真 新建一個原理圖
10、文件,加入各功能模塊,并添加輸入輸出端口,各個模塊的連接如圖2-8所示。圖2-8 UART接收模塊仿真原理圖 保存原理圖為uartrxts.bdf。編譯工程文件,編譯無誤后新建波形仿真文件,加入輸入輸出信號,設(shè)置系統(tǒng)時鐘clk為50MHz,保存為uartrxts.vwf,進(jìn)行UART數(shù)據(jù)接收的波形仿真,波形仿真報告如圖2-9所示圖2-9 UART接收模塊仿真結(jié)果 對上圖分析看出,UART接收模塊接收到的數(shù)據(jù)與UART發(fā)送模塊發(fā)送的數(shù)據(jù)相一至,每接收到一個數(shù)據(jù)都有一個讀取數(shù)據(jù)指示rdisg,UART接收模塊得到正確驗證2、5接收電路的波特率發(fā)生器和采樣時鐘的設(shè)計為提高接收的準(zhǔn)確性,減少誤碼率,每
11、一位數(shù)據(jù)都用3 倍頻的波特率對數(shù)據(jù)進(jìn)行采樣 ,然后對3 次采樣結(jié)果進(jìn)行判決:如果3 次采樣中至少有2 次為高電平,則接收這一位數(shù)據(jù)被判決為高電平,否者,為低電平。為完成3 次采樣,除了頻率為9600Hz 的接收時鐘外,還要有一個3 倍頻的采樣時鐘3 VHDL描述思路第二部分“系統(tǒng)設(shè)計”中對系統(tǒng)的功能和邏輯結(jié)構(gòu)、以及模塊劃分都有了詳細(xì)的敘述,模塊間的耦合和信號的傳遞關(guān)系已經(jīng)清晰??梢允褂肰HDL語言描述硬件電路了。發(fā)送模塊和接收模塊的VHDL語言描述按照事先的設(shè)計進(jìn)行編寫可以使電路思想明晰化,避免編程過程中用軟件的思維去描述硬件的電路系統(tǒng),也可有效避免錯誤。由于進(jìn)行了細(xì)致的模塊劃分,模塊的間的耦
12、合程度降到了很低,這就使得電路設(shè)計的可靠性大為增強(qiáng),只要模塊的輸出滿足了功能定義,整個系統(tǒng)就能正常工作,即便個別模塊出現(xiàn)問題,也不會過多影響到其他模塊。實(shí)際測試過程中也印證了這一點(diǎn)。4 總體電路的描述 頂層符號文件描述如下: 5 系統(tǒng)仿真驗證 系統(tǒng)仿真分為兩個步驟進(jìn)行,首先是關(guān)鍵模塊的仿真,驗證子系統(tǒng)功能的正確性,然后是綜合仿真,驗證整個系統(tǒng)的功能。5、1 發(fā)送電路的波特率發(fā)生器的VHDL的仿真5、2發(fā)送模塊VHDL的仿真5、3 特率發(fā)生器和采樣時鐘的設(shè)計的VHDL仿真5、4 接受模塊的描述的VHDL仿真5、5 綜合仿真6、結(jié)果與分析 6.1實(shí)現(xiàn)功能說明本實(shí)驗完成的RS232串口通信控制器,完
13、成了課題要求的所有功能。由于頂層設(shè)計采用了子系統(tǒng)分模塊描述的方法,使得控制器具有很好的擴(kuò)展性。可以在不改變系統(tǒng)框架和模塊間電路連接關(guān)系,增加其他模塊,實(shí)現(xiàn)其他功能。本課題中并沒有在數(shù)據(jù)幀中加入校驗位,僅僅實(shí)現(xiàn)了1位起始位,1位停止位和8位數(shù)據(jù)位的傳輸。初步實(shí)現(xiàn)了單片機(jī)和CPLD之間的通信。數(shù)字系統(tǒng)的設(shè)計,真正的重點(diǎn)在于把系統(tǒng)層面的設(shè)計做好,系統(tǒng)架構(gòu)清晰,層次明確,穩(wěn)定性好,可擴(kuò)展和可移植性好,這樣的系統(tǒng)給到用戶,只要添加用戶模塊或者稍作修改即可完成非特定的功能。6.2器件資源分析在設(shè)計階段,對系統(tǒng)描述采用過多種方式,嘗試了多種描述,代碼的長度在增加,模塊在增多,但系統(tǒng)穩(wěn)定性和可擴(kuò)展性也在增強(qiáng),
14、層次結(jié)構(gòu)和模塊設(shè)計也更加完善。設(shè)計之初對資源使用沒有多少概念,時常以軟件的思想描述硬件,在描述中使用乘法等資源耗費(fèi)嚴(yán)重的操作,也曾使用過嵌套多層的IF-ELSE語句,產(chǎn)生很長的選擇器,降低了模塊的可靠性,增加處理延時。隨著設(shè)計的深入,加上反復(fù)求精的過程磨練,逐步對硬件描述綜合出的實(shí)際電路形式有了更多的理解,對延時和資源占用有了初步概念。一個顯見的結(jié)論是描述代碼的長度和綜合后的電路形式與資源占用無必然聯(lián)系。需要關(guān)注編譯器在綜合時如何將VHDL行為級描述轉(zhuǎn)化為結(jié)構(gòu)化的門級電路,才能分析清楚資源占用率和電路結(jié)構(gòu)。行為級描述可能與實(shí)際綜合的電路產(chǎn)生不一致,需要謹(jǐn)慎對待。6.3故障和問題分析實(shí)驗中由于詳
15、細(xì)劃分了子模塊、各模塊的實(shí)現(xiàn)都仔細(xì)進(jìn)行了描述,故沒有出現(xiàn)太多的故障。但是在最后將個子模塊進(jìn)行綜合的時候出現(xiàn)了仿真結(jié)果不正確的現(xiàn)象,后經(jīng)過多次修改終于得到正確的結(jié)果。由于對VHDL語言的學(xué)習(xí)與理解還停留在低層次上,故在編譯時出現(xiàn)了很多錯誤,在查閱了諸多相關(guān)資料后對VHDL的語法基本熟悉,逐漸深入,從最初的模塊初步成型到最后全模塊化,自頂向下設(shè)計系統(tǒng),完成VHDL描述。最深的感受在于,開始時一心專注于代碼的編寫和語法的使用,忽視了系統(tǒng)設(shè)計,導(dǎo)致設(shè)計結(jié)果不令人滿意,后來逐步采用自頂向下的設(shè)計思路,先從邏輯上把系統(tǒng)的功能和子系統(tǒng)的劃分描述清楚,然后設(shè)計各個模塊的接口和定義,最后分別去描述底層各個模塊的
16、功能和實(shí)現(xiàn),清晰明了,一氣呵成。這也是系統(tǒng)沒有多少故障的主要原因。7、總結(jié)體會對這次設(shè)計的題目起初不是很了解,后來通過同學(xué)、老師的解答和上網(wǎng)搜尋對設(shè)計有了一定的了解,對課題的設(shè)計業(yè)采用了很多方法,有復(fù)雜的也有簡單的,最終決定采用這個方案,當(dāng)然,在使用這個方案設(shè)計程序的時候也遇到了許多問題,有VHDL語言的語法問題也有硬件下載和仿真問題,后來都在同學(xué)和老師的幫助下解決了,解決問題的主要方法還是要加強(qiáng)對VHDL語言的學(xué)習(xí)和對硬件操作的學(xué)習(xí),要注意只是與應(yīng)用相結(jié)合。8、參考文獻(xiàn)1 EDA技術(shù)實(shí)驗開發(fā)系統(tǒng)實(shí)驗指導(dǎo)書,啟東計算機(jī)廠有限公司。2 CPLD/FPGA可編程邏輯器件實(shí)用教程,馬彧,王丹利,王麗
17、英,機(jī)械工業(yè)出版社,2010,7。3 .微機(jī)原理與接口技術(shù)(第二版),田輝,高等教育出版社.20114.EDA技術(shù)實(shí)用教程VHDL版(第四版),科學(xué)出版社附錄1發(fā)送電路的波特率發(fā)生器的VHDLLIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY clk_div IS PORT(clk : IN STD_LOGIC; clk_div13 : OUT STD_LOGIC); END clk_div; ARCHITECTURE rtl
18、 OF clk_div IS SIGNAL count : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL clk_temp : STD_LOGIC; BEGIN PROCESS(clk) BEGIN IF (clk'event AND clk='1') THEN IF(count="00001101") THEN count <= (OTHERS =>'0'); clk_temp <=NOT clk_temp; ELSE count <= count +1; END IF ; END
19、 IF ; END PROCESS; clk_div13<= clk_temp; END rtl;附錄2 發(fā)送模塊的VHDLlibrary ieee; use ieee.std_logic_1164.all; entity com is port(clk,en:in std_logic; Send_data:in std_logic_vector(9 downto 0); serial:out std_logic); end com; architecture com_arc of com is begin process(clk) variable count:integer rang
20、e 0 to 9 :=0; begin if en='0' then count:=0; serial<='1' elsif rising_edge(clk) then if count=9 then serial<=Send_data(9); else serial<=Send_data(count); count:=count+1; end if; end if; end process; end com_arc;附錄3 接收電路的波特率發(fā)生器和采樣時鐘設(shè)計的VHDLlibrary ieee; use ieee.std_logic_1164
21、.all; entity count13 is port(clk,en:in std_logic; Clock1,Clock3:out std_logic); end count13; architecture count13_arc of count13 is begin process(clk,en) variable count:integer range 0 to 13 :=0; begin if en='0' then NUll; elsif (rising_edge(clk) then count:=count+1; if count=13 then Clock1&
22、lt;='1' count:=0; else Clock1<='0' end if; if (count=3 or count=6 or count=9 ) then Clock3<='1' else Clock3<='0' end if; end if; end process; end count13_arc;附錄 4 接受模塊的VHDLlibrary ieee; use ieee.std_logic_1164.all; entity com_receive10 is port(com,clr,clk1,cl
23、k3:in std_logic; Q:out std_logic_vector(0 to 9); Valid:out std_logic); end com_receive10; architecture com_receive10_arc of com_receive10 is Signal Enable:std_logic :='1' Signal Hold:std_logic :='0' Signal N:std_logic_vector(0 to 2) :="000" begin Valid<=Enable and Hold;
24、process(clk1,clr) variable Num:integer range 0 to 9 :=0; begin if clr='0' then Enable<='1' ; Num:=0; Q<="0000000000" elsif (rising_edge(clk1) then Q(Num)<=(N(0) and N(1) or (N(1) and N(2) or (N(0) and N(2); if Num=9 then Enable<='0' Num:=0; else Num:=Num
25、+1; end if; end if; end process; process(clk3,clr) variable m:integer range 0 to 2 :=0; begin if clr='0' then m:=0; elsif(rising_edge(clk3) then N(m)<=com; if m=2 then m:=0; else m:=m+1; end if; end if; end process; process(clr,com) begin if clr='0' then Hold<='0' elsif
26、 falling_edge(com) then Hold<='1' end if; end process; end com_receive10_arc; 大學(xué)本科生畢業(yè)設(shè)計(論文)撰寫規(guī)范本科生畢業(yè)設(shè)計(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究價值和實(shí)用價值的學(xué)術(shù)資料。它既是本科學(xué)生開始從事工程設(shè)計、科學(xué)實(shí)驗和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對所進(jìn)行研究的適當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認(rèn)定的重要依據(jù)。畢業(yè)論文撰寫是本科生培養(yǎng)過程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國家及各專業(yè)部門制定的有關(guān)標(biāo)準(zhǔn),符合漢語語法規(guī)范。指導(dǎo)教師應(yīng)加強(qiáng)指導(dǎo),嚴(yán)格把關(guān)。1、論文結(jié)構(gòu)及要求論
27、文包括題目、中文摘要、外文摘要、目錄、正文、參考文獻(xiàn)、致謝和附錄等幾部分。1.1 題目論文題目應(yīng)恰當(dāng)、準(zhǔn)確地反映論文的主要研究內(nèi)容。不應(yīng)超過25字,原則上不得使用標(biāo)點(diǎn)符號,不設(shè)副標(biāo)題。1.2 摘要與關(guān)鍵詞1.2.1 摘要本科生畢業(yè)設(shè)計(論文)的摘要均要求用中、英兩種文字給出,中文在前。摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究內(nèi)容和主要結(jié)果或結(jié)論,文字要精煉,具有一定的獨(dú)立性和完整性,摘要一般應(yīng)在300字左右。摘要中不宜使用公式、圖表,不標(biāo)注引用文獻(xiàn)編號,避免將摘要寫成目錄式的內(nèi)容介紹。1.2.2 關(guān)鍵詞關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語標(biāo)
28、準(zhǔn)),一般列35個,按詞條的外延層次從大到小排列,應(yīng)在摘要中出現(xiàn)。1.3 目錄目錄應(yīng)獨(dú)立成頁,包括論文中全部章、節(jié)的標(biāo)題及頁碼。1.4 論文正文論文正文包括緒論、論文主體及結(jié)論等部分。1.4.1 緒論緒論一般作為論文的首篇。緒論應(yīng)說明選題的背景、目的和意義,國內(nèi)外文獻(xiàn)綜述以及論文所要研究的主要內(nèi)容。文管類論文的緒論是畢業(yè)論文的開頭部分,一般包括說明論文寫作的目的與意義,對所研究問題的認(rèn)識以及提出問題。緒論只是文章的開頭,不必寫章號。畢業(yè)設(shè)計(論文)緒論部分字?jǐn)?shù)不多于全部論文字?jǐn)?shù)的1/4。1.4.2 論文主體論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點(diǎn)突出,文字簡練、通順。論文主體的內(nèi)
29、容要求參照大學(xué)本科生畢業(yè)設(shè)計(論文)的規(guī)定第五章。論文主體各章后應(yīng)有一節(jié)“本章小結(jié)”。1.4.3 結(jié)論結(jié)論作為單獨(dú)一章排列,但不加章號。結(jié)論是對整個論文主要成果的歸納,要突出設(shè)計(論文)的創(chuàng)新點(diǎn),以簡練的文字對論文的主要工作進(jìn)行評價,一般為4001 000字。1.5 參考文獻(xiàn)參考文獻(xiàn)是論文不可缺少的組成部分,它反映了論文的取材來源和廣博程度。論文中要注重引用近期發(fā)表的與論文工作直接有關(guān)的學(xué)術(shù)期刊類文獻(xiàn)。對理工類論文,參考文獻(xiàn)數(shù)量一般應(yīng)在15篇以上,其中學(xué)術(shù)期刊類文獻(xiàn)不少于8篇,外文文獻(xiàn)不少于3篇;對文科類、管理類論文,參考文獻(xiàn)數(shù)量一般為1020篇,其中學(xué)術(shù)期刊類文獻(xiàn)不少于8篇,外文文獻(xiàn)不少于3
30、篇。在論文正文中必須有參考文獻(xiàn)的編號,參考文獻(xiàn)的序號應(yīng)按在正文中出現(xiàn)的順序排列。產(chǎn)品說明書、各類標(biāo)準(zhǔn)、各種報紙上刊登的文章及未公開發(fā)表的研究報告(著名的內(nèi)部報告如PB、AD報告及著名大公司的企業(yè)技術(shù)報告等除外)不宜做為參考文獻(xiàn)引用。但對于工程設(shè)計類論文,各種標(biāo)準(zhǔn)、規(guī)范和手冊可作為參考文獻(xiàn)。引用網(wǎng)上參考文獻(xiàn)時,應(yīng)注明該文獻(xiàn)的準(zhǔn)確網(wǎng)頁地址,網(wǎng)上參考文獻(xiàn)不包含在上述規(guī)定的文獻(xiàn)數(shù)量之內(nèi)。1.6 致謝對導(dǎo)師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個人表示感謝。內(nèi)容應(yīng)簡潔明了、實(shí)事求是,避免俗套。1.7 附錄如開題報告、文獻(xiàn)綜述、外文譯文及外文文獻(xiàn)復(fù)印件、公式的推導(dǎo)、程序流程圖、圖紙、數(shù)據(jù)表格等有些不宜放在正
31、文中,但有參考價值的內(nèi)容可編入論文的附錄中。2、論文書寫規(guī)定2.1 論文正文字?jǐn)?shù)理工類 論文正文字?jǐn)?shù)不少于20 000字。文管類 論文正文字?jǐn)?shù)12 00020 000字。其中漢語言文學(xué)專業(yè)不少于7 000字。外語類 論文正文字?jǐn)?shù)8 00010 000個外文單詞。藝術(shù)類 論文正文字?jǐn)?shù)3 0005 000字。2.2 論文書寫本科生畢業(yè)論文用B5紙計算機(jī)排版、編輯與雙面打印輸出。論文版面設(shè)置為:畢業(yè)論文B5紙、縱向、為橫排、不分欄,上下頁邊距分別為2.5cm和2cm,左右頁邊距分別為2.4cm和2cm,對稱頁邊距、左側(cè)裝訂并裝訂線為0cm、奇偶頁不同、無網(wǎng)格。論文正文滿頁為29行,每行33個字,字號
32、為小四號宋體,每頁版面字?jǐn)?shù)為957個,行間距為固定值20磅。頁眉。頁眉應(yīng)居中置于頁面上部。單數(shù)頁眉的文字為“章及標(biāo)題”;雙數(shù)頁眉的文字為“大學(xué)本科生畢業(yè)設(shè)計(論文)”。頁眉的文字用五號宋體,頁眉文字下面為2條橫線(兩條橫線的長度與版芯尺寸相同,線粗0.5磅)。頁眉、頁腳邊距分別為1.8cm和1.7cm。頁碼。頁碼用小五號字,居中標(biāo)于頁面底部。摘要、目錄等文前部分的頁碼用羅馬數(shù)字單獨(dú)編排,正文以后的頁碼用阿拉伯?dāng)?shù)字編排。2.3 摘要中文摘要一般為300字左右,外文摘要應(yīng)與中文摘要內(nèi)容相同,在語法、用詞和書寫上應(yīng)正確無誤,摘要頁勿需寫出論文題目。中、外文摘要應(yīng)各占一頁,編排裝訂時放置正文前,并且中
33、文在前,外文在后。2.4 目錄目錄應(yīng)包括論文中全部章節(jié)的標(biāo)題及頁碼,含中、外文摘要;正文章、節(jié)題目;參考文獻(xiàn);致謝;附錄。正文章、節(jié)題目(理工類要求編寫到第3級標(biāo)題,即.。文科、管理類可視論文需要進(jìn)行,編寫到23級標(biāo)題。)2.5 論文正文2.5.1 章節(jié)及各章標(biāo)題論文正文分章、節(jié)撰寫,每章應(yīng)另起一頁。各章標(biāo)題要突出重點(diǎn)、簡明扼要。字?jǐn)?shù)一般在15字以內(nèi),不得使用標(biāo)點(diǎn)符號。標(biāo)題中盡量不用英文縮寫詞,對必須采用者,應(yīng)使用本行業(yè)的通用縮寫詞。2.5.2 層次層次以少為宜,根據(jù)實(shí)際需要選擇。層次代號格式見表1和表2。表1 理工類論文層次代號及說明層次名稱示 例說 明章第1章 章序及章名居中排,章序用阿拉
34、伯?dāng)?shù)字節(jié)1.1 題序頂格書寫,與標(biāo)題間空1字,下面闡述內(nèi)容另起一段條1.1.1 款1.1.1.1 題序頂格書寫,與標(biāo)題間空1字,下面闡述內(nèi)容在標(biāo)題后空1字接排項 (1) 題序空2字書寫,以下內(nèi)容接排,有標(biāo)題者,闡述內(nèi)容在標(biāo)題后空1字 版心左邊線 版心右邊線表2 文管類論文層次代號及說明章節(jié)條款項一、 (一) 1. (1)居中書寫空2字書寫空2字書寫空2字書寫空2字書寫 版心左邊線 版心右邊線各層次題序及標(biāo)題不得置于頁面的最后一行(孤行)。2.6 參考文獻(xiàn)正文中引用文獻(xiàn)標(biāo)示應(yīng)置于所引內(nèi)容最末句的右上角,用小五號字體。所引文獻(xiàn)編號用阿拉伯?dāng)?shù)字置于方括號“ ”中,如“二次銑削1”。當(dāng)提及的參考文獻(xiàn)為
35、文中直接說明時,其序號應(yīng)該與正文排齊,如“由文獻(xiàn)8,1014可知”。經(jīng)濟(jì)、管理類論文引用文獻(xiàn),若引用的是原話,要加引號,一般寫在段中;若引的不是原文只是原意,文前只需用冒號或逗號,而不用引號。在參考文獻(xiàn)之外,若有注釋的話,建議采用夾注,即緊接文句,用圓括號標(biāo)明。不得將引用文獻(xiàn)標(biāo)示置于各級標(biāo)題處。參考文獻(xiàn)書寫格式應(yīng)符合GB77141987文后參考文獻(xiàn)著錄規(guī)則。常用參考文獻(xiàn)編寫項目和順序應(yīng)按文中引用先后次序規(guī)定如下:著作圖書文獻(xiàn)序號作者書名(版次)出版地:出版者,出版年:引用部分起止頁 第一版應(yīng)省略翻譯圖書文獻(xiàn)序號作者書名(版次)譯者出版地: 出版者,出版年:引用部分起止頁 第一版應(yīng)省略學(xué)術(shù)刊物文
36、獻(xiàn)序號作者文章名學(xué)術(shù)刊物名年,卷(期):引用部分起止頁學(xué)術(shù)會議文獻(xiàn)序號作者文章名編者名會議名稱,會議地址,年份出版地,出版者,出版年:引用部分起止頁學(xué)位論文類參考文獻(xiàn)序號研究生名學(xué)位論文題目出版地學(xué)校(或研究單位)及學(xué)位論文級別答辯年份:引用部分起止頁 西文文獻(xiàn)中第一個詞和每個實(shí)詞的第一個字母大寫,余者小寫;俄文文獻(xiàn)名第一個詞和專有名詞的第一個字母大寫,余者小寫;日文文獻(xiàn)中的漢字須用日文漢字,不得用中文漢字、簡化漢字代替。文獻(xiàn)中的外文字母一律用正體。作者為多人時,一般只列出前3名作者,不同作者姓名間用逗號相隔。外文姓名按國際慣例,將作者名的縮寫置前,作者姓置后。學(xué)術(shù)會議若出版論文集者,可在會議
37、名稱后加上“論文集”字樣。未出版論文集者省去“出版者”、“出版年”兩項。會議地址與出版地相同者省略“出版地”。會議年份與出版年相同者省略“出版年”。學(xué)術(shù)刊物文獻(xiàn)無卷號的可略去此項,直接寫“年,(期)”。參考文獻(xiàn)序號頂格書寫,不加括號與標(biāo)點(diǎn),其后空一格寫作者名。序號應(yīng)按文獻(xiàn)在論文中的被引用順序編排。換行時與作者名第一個字對齊。若同一文獻(xiàn)中有多處被引用,則要寫出相應(yīng)引用頁碼,各起止頁碼間空一格,排列按引用順序,不按頁碼順序。參考文獻(xiàn)書寫格式示例見附錄1。2.7 名詞術(shù)語科技名詞術(shù)語及設(shè)備、元件的名稱,應(yīng)采用國家標(biāo)準(zhǔn)或部頒標(biāo)準(zhǔn)中規(guī)定的術(shù)語或名稱。標(biāo)準(zhǔn)中未規(guī)定的術(shù)語要采用行業(yè)通用術(shù)語或名稱。全文名詞術(shù)
38、語必須統(tǒng)一。一些特殊名詞或新名詞應(yīng)在適當(dāng)位置加以說明或注解。文管類專業(yè)技術(shù)術(shù)語應(yīng)為常見、常用的名詞。采用英語縮寫詞時,除本行業(yè)廣泛應(yīng)用的通用縮寫詞外,文中第一次出現(xiàn)的縮寫詞應(yīng)該用括號注明英文全文。2.8 計量單位物理量計量單位及符號一律采用中華人民共和國法定計量單位(GB310031021993,見附錄2),不得使用非法定計量單位及符號。計量單位符號,除用人名命名的單位第一個字母用大寫之外,一律用小寫字母。非物理單位(如件、臺、人、元、次等)可以采用漢字與單位符號混寫的方式,如“萬t·km”,“t/(人·a)”等。文稿敘述中不定數(shù)字之后允許用中文計量單位符號,如“幾千克至1
39、 000kg”。表達(dá)時刻時應(yīng)采用中文計量單位,如“上午8點(diǎn)45分”,不能寫成“8h45min”。計量單位符號一律用正體。2.9 外文字母的正、斜體用法按照GB310031021986及GB71591987的規(guī)定使用,即物理量符號、物理常量、變量符號用斜體,計量單位等符號均用正體。2.10 數(shù)字按國家語言文字工作委員會等七單位1987年發(fā)布的關(guān)于出版物上數(shù)字用法的規(guī)定,除習(xí)慣用中文數(shù)字表示的以外,一般均采用阿拉伯?dāng)?shù)字(參照附錄3)。2.11 公式原則上居中書寫。若公式前有文字(如“解”、“假定”等),文字頂格書寫,公式仍居中寫。公式末不加標(biāo)點(diǎn)。公式序號按章編排,如第1章第一個公式序號為“(1-1
40、)”,附錄2中的第一個公式為(-1)等。 文中引用公式時,一般用“見式(1-1)”或“由公式(1-1)”。公式中用斜線表示“除”的關(guān)系時,若分母部分為乘積應(yīng)采用括號,以免含糊不清,如a/(bcosx)。通?!俺恕钡年P(guān)系在前,如acosx/b而不寫(a/b)cosx。2.12 插表表格不加左、右邊線。表序一般按章編排,如第1章第一個插表的序號為“表11”等。表序與表名之間空一格,表名中不允許使用標(biāo)點(diǎn)符號,表名后不加標(biāo)點(diǎn)。表序與表名置于表上,居中排寫(見附錄4)。表頭設(shè)計應(yīng)簡單明了,盡量不用斜線。表頭中可采用化學(xué)符號或物理量符號。全表如用同一單位,將單位符號移到表頭右上角,加圓括號(見附錄4中的例
41、2)。表中數(shù)據(jù)應(yīng)正確無誤,書寫清楚。數(shù)字空缺的格內(nèi)加“”字線(占2個數(shù)字寬度)。表內(nèi)文字和數(shù)字上、下或左、右相同時,不允許用“”、“同上”之類的寫法,可采用通欄處理方式(見附錄4中的例2)。表內(nèi)文字說明不加標(biāo)點(diǎn)。文管類的插表在表下一般根據(jù)需要可增列補(bǔ)充材料、注解、附記、資料來源、某些指標(biāo)的計算方法等。表內(nèi)文字說明,起行空一格,轉(zhuǎn)行頂格,句末不加標(biāo)點(diǎn)。表題用五號字,表內(nèi)文字及表的說明文字均用五號字,中文用宋體。表格容量較大,必要時表格也可分為兩段或多段(這只能發(fā)生在轉(zhuǎn)頁時),轉(zhuǎn)頁分段后的每一續(xù)表的表頭都應(yīng)重新排字,重排表頭的續(xù)表上方右側(cè)應(yīng)注明(續(xù)表×)字樣。2.13 插圖插圖應(yīng)與文字緊
42、密配合,文圖相符,技術(shù)內(nèi)容正確。2.13.1 制圖標(biāo)準(zhǔn)插圖應(yīng)符合技術(shù)制圖及相應(yīng)專業(yè)制圖的規(guī)定。機(jī)械工程圖:采用第一角投影法,應(yīng)符合附錄5所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。電氣圖:圖形符號、文字符號等應(yīng)符合附錄6所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。流程圖:符合國家標(biāo)準(zhǔn)。對無規(guī)定符號的圖形應(yīng)采用該行業(yè)的常用畫法。2.13.2 圖題及圖中說明每個圖均應(yīng)有圖題(由圖號和圖名組成)。圖號按章編排,如第1章第一圖的圖號為“圖1-1”等。圖題置于圖下。有圖注或其他說明時應(yīng)置于圖題之上。圖名在圖號之后空一格排寫。引用圖應(yīng)說明出處,在圖題右上角加引用文獻(xiàn)編號。圖中若有分圖時,分圖號用a)、b)等置于分圖之下。圖中各部分說明應(yīng)采用中文(引用的
43、外文圖除外)或數(shù)字項號,各項文字說明置于圖題之上(有分圖題者,置于分圖題之上)。圖題用五號字,圖內(nèi)文字及說明均用五號字,中文用宋體。2.13.3 插圖編排插圖與其圖題為一個整體,不得拆開排寫于兩頁。插圖應(yīng)編排在正文提及之后,插圖處的該頁空白不夠排寫該圖整體時,則可將其后文字部分提前排寫,將圖移到次頁最前面。2.13.4 坐標(biāo)單位有數(shù)字標(biāo)注的坐標(biāo)圖,除無單位者(如標(biāo)示值)之外,必須注明坐標(biāo)單位。2.13.5 論文中照片圖及插圖畢業(yè)論文中的照片圖均應(yīng)是原版照片粘貼(或數(shù)碼像機(jī)圖片),照片可為黑白或彩色,應(yīng)主題突出、層次分明、清晰整潔、反差適中。照片采用光面相紙,不宜用布紋相紙。對金相顯微組織照片必
44、須注明放大倍數(shù)。畢業(yè)論文中的插圖不得采用復(fù)印件。對于復(fù)雜的引用圖,可采用數(shù)字化儀表輸入計算機(jī)打印出來的圖稿。2.14 附錄理工類論文附錄的序號采用“附錄1”、“附錄2”等,附錄順序為開題報告、文獻(xiàn)綜述、外文文獻(xiàn)的中文譯文及外文復(fù)印件等。文管類論文附錄序號相應(yīng)采用“附錄一”、“附錄二”等。3、論文排版要求3.1 紙張要求及頁面設(shè)置名稱格式要求紙張B5(182×257),幅面白色頁面設(shè)置上下頁邊距2.5cm和2cm,左右頁邊距2.4 cm和2cm,頁眉、頁腳分別為1.8cm和1.7cm,對稱頁邊距、左側(cè)裝訂并裝訂線為0cm、奇偶頁不同、無網(wǎng)格頁眉宋體字五號居中頁碼宋體字小五號居中3.2
45、封面(詳見模版、B5紙單面打印)名稱格式要求本科畢業(yè)設(shè)計/論文宋體字小二號,行距固定值25磅 ,間距段前、段后分別為0.5行,要求字體居中論文題目黑體字二號,行距固定值25磅,間距段前、段后分別為0.5行。論文題目中文字?jǐn)?shù)不得超過25字,要求字體居中填寫姓名宋體字小三號, 行距固定值20磅 , 間距段前、段后分別為0.5行,要求字體居中大學(xué)楷體字小二號,行距固定值20磅, 間距段前、段后分別為0.5行。每字間空1格,要求字體居中年 月宋體字小三號,行距固定值20磅, 間距段前、段后分別為0.5行。數(shù)字用阿拉伯?dāng)?shù)字,日期為論文提交日期,要求字體居中3.3 封面2(詳見模版、B5紙單面打印)名稱格
46、式要求本科畢業(yè)設(shè)計/論文宋體字小二號,行距固定值25磅,間距段前、段后分別為0.5行,要求字體居中論文題目黑體字二號,行距固定值25磅,間距段前、段后分別為0.5行。論文題目中文字?jǐn)?shù)不得超過25字,要求字體居中學(xué)院(系)宋體字四號,行距固定值20磅,間距段前、段后分別為0.5行,字體左對齊專業(yè)同上學(xué)生姓名同上學(xué)號同上指導(dǎo)教師同上答辯日期同上3.4 本科畢業(yè)設(shè)計/論文 任務(wù)書(單面打印)本科畢業(yè)設(shè)計/論文B5紙,單面打印,不編頁碼3.5 中、英文摘要名稱中文摘要英文摘要標(biāo)題摘要:黑體字小二居中,行距固定值20磅,間距段前、段后分別為1行Abstract: Times New Roman體小二號居
47、中,行距固定值20磅,間距段前、段后分別為1行段落文字宋體字小四號,行距固定值20磅Times New Roman體小四號,行距固定值20磅關(guān)鍵詞同上,“關(guān)鍵詞”三字加粗同上,“Key Words”兩詞加粗頁碼羅馬大寫數(shù)字,Times New Roman體小五號字羅馬大寫數(shù)字,Times New Roman體小五號字3.6 目錄名稱示例格式要求標(biāo)題目錄黑體字小二號居中,行距固定值20磅,間距段前、段后分別為1行各章目錄格式范例黑體字小四號, 行距固定值20磅,兩端對齊,頁碼右對齊節(jié)標(biāo)題目錄格式范例宋體字小四號,行距固定值20磅,兩端對齊,頁碼右對齊,左縮進(jìn)2字符條標(biāo)題目錄格式范例宋體字小四號,
48、行距固定值20磅,兩端對齊,頁碼右對齊,左縮進(jìn)3字符(條標(biāo)題目錄文科左縮進(jìn)2字符)頁碼格式范例羅馬大寫數(shù)字,Times New Roman 體小五號字3.7 正文名 稱示例格式要求理工論文文科論文各章標(biāo)題 第1章 ××一、×××黑體字小二號居中,行距固定值20磅,間距段前、段后分別為1行,理工類章序號與章名間空一個漢字節(jié)標(biāo)題1.1 ××××(一)×××黑體字小三號,行距固定值20磅,間距段前、段后分別為0.5行,理工類題序與題名間空一個漢字條標(biāo)題1.1.1 ×
49、15;×1、×××黑體字四號,行距固定值20磅,間距段前、段后分別為0.5行,理工類題序與題名間空一個漢字款標(biāo)題1.1.1.1 ××(1)×××黑體字小四號,行距固定值20磅,理工類題序與題名間空一個漢字正文段落文字××××××××××××××××××××××××
50、15;×宋體字小四號,段落首行左縮進(jìn)2個漢字。行距固定值20磅(段落中有數(shù)學(xué)表達(dá)式時,可根據(jù)表達(dá)需要設(shè)置該段的行距)3.8 其它名 稱格式要求結(jié)論標(biāo)題要求同各章標(biāo)題,正文部分:宋體字小四號,行距固定值20磅,段落首行左縮進(jìn)2個漢字參考文獻(xiàn)標(biāo)題要求同各章標(biāo)題,正文部分:宋體字小四號(英文用Times New Roman體小四號),行距固定值20磅致謝標(biāo)題要求同各章標(biāo)題,正文部分宋體字小四號,行距固定值20磅,(英文用Times New Roman體小四號)附錄標(biāo)題要求同各章標(biāo)題,正文部分:宋體字小四號(英文用Times New Roman體小四號),段落首行左縮進(jìn)2個漢字。行距固定值2
51、0磅,(段落中有數(shù)學(xué)表達(dá)式時,可根據(jù)表達(dá)需要設(shè)置該段的行距),裝訂時附錄內(nèi)容加封面大學(xué)畢業(yè)設(shè)計/論文評審意見表B5紙,單面打印,不編頁碼大學(xué)畢業(yè)設(shè)計/論文答辯委員會評語表B5紙,單面打印,不編頁碼注:1.畢業(yè)設(shè)計/論文模版用Word 2003文檔排版,詳見教務(wù)處網(wǎng)頁-“文檔下載”-“實(shí)踐教學(xué)用表”中畢業(yè)設(shè)計/論文模版,下載的模版文檔會變形需要進(jìn)行整理。2.未注明事宜,請查看撰寫規(guī)范有關(guān)要求。4、論文打印輸出要求4.1 輸出樣式計算機(jī)雙面打印輸出。4.2 字體字號論文正文字體為宋體,小四號字。第一層次(章)題序和標(biāo)題用小二號黑體字。題序和標(biāo)題之間空1個字。第二層次(節(jié))題序和標(biāo)題用小三號黑體字。題序和標(biāo)題之間空1個字。第三層次(條)題序和標(biāo)題用四號黑體字。第四層次(款)題序和標(biāo)題用小四號黑體字。第五層次(項)題序和標(biāo)題用小四號宋體字。頁碼用小五號字,在底線下居中。論文的中文和外文摘要屬二次文獻(xiàn)置于目錄前,并編入目錄,按第一層次(章)的編輯要求處理。參考文獻(xiàn)、致謝、附錄同樣按第一層次(章)的編輯要求處理,另起新頁,與正文一起順序用阿拉伯?dāng)?shù)字編頁。4.3 摘要及關(guān)鍵詞中文摘要題頭用小二號黑體字居中排寫,然后隔行書寫摘要的文字部分,摘要正文用小四號宋體,行距20磅。英文論文摘要另起一頁,其內(nèi)容及關(guān)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 陜教版道德與法治九年級上冊8.1《升學(xué)就業(yè)善選擇》聽課評課記錄
- 浙教版數(shù)學(xué)七年級上冊第五章《一元一次方程》復(fù)習(xí)聽評課記錄
- 蘇科版七年級數(shù)學(xué)上冊《2.7.1理數(shù)的乘方》聽評課記錄
- 華東師大版七年級數(shù)學(xué)上冊《第1章走進(jìn)數(shù)學(xué)世界1.2人類離不開數(shù)學(xué) 》聽評課記錄
- 蘇科版數(shù)學(xué)九年級下冊8.4《抽簽方法合理嗎》聽評課記錄
- 蘇科版數(shù)學(xué)九年級上冊1.2《一元二次方程的解法》聽評課記錄4
- 生態(tài)環(huán)境監(jiān)測數(shù)據(jù)共享合同(2篇)
- 環(huán)境數(shù)據(jù)共享服務(wù)合同(2篇)
- 聽評課研討記錄七年級
- 滬教版數(shù)學(xué)七年級下冊15.2《直角坐標(biāo)平面內(nèi)點(diǎn)的運(yùn)動》聽評課記錄
- 電化學(xué)免疫傳感器的應(yīng)用
- 數(shù)據(jù)中心基礎(chǔ)知識培訓(xùn)-2024鮮版
- 供電企業(yè)輿情的預(yù)防及處置
- 【高中語文】《氓》課件++統(tǒng)編版+高中語文選擇性必修下冊
- T-WAPIA 052.3-2023 無線局域網(wǎng)設(shè)備技術(shù)規(guī)范 第3部分:接入點(diǎn)和控制器
- 第4課+中古時期的亞洲(教學(xué)設(shè)計)-【中職專用】《世界歷史》(高教版2023基礎(chǔ)模塊)
- 金點(diǎn)子活動總結(jié)匯報
- 運(yùn)動技能學(xué)習(xí)與控制完整
- 原料驗收標(biāo)準(zhǔn)知識培訓(xùn)課件
- Unit4MyfamilyStorytime(課件)人教新起點(diǎn)英語三年級下冊
- 物流運(yùn)作管理-需求預(yù)測
評論
0/150
提交評論