基于vhdl數(shù)字時鐘的設(shè)計(jì)說明書_第1頁
基于vhdl數(shù)字時鐘的設(shè)計(jì)說明書_第2頁
基于vhdl數(shù)字時鐘的設(shè)計(jì)說明書_第3頁
基于vhdl數(shù)字時鐘的設(shè)計(jì)說明書_第4頁
基于vhdl數(shù)字時鐘的設(shè)計(jì)說明書_第5頁
已閱讀5頁,還剩43頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 課程設(shè)計(jì)任務(wù)書課程名稱計(jì)算機(jī)組成原理課程設(shè)計(jì)時間20062007學(xué)年第一學(xué)期1920周學(xué)生姓名楊學(xué)鎮(zhèn)指導(dǎo)老師肖曉麗題 目數(shù)字鐘的設(shè)計(jì)與制作主要內(nèi)容:本課程設(shè)計(jì)主要是利用硬件描述語言VHDL的設(shè)計(jì)思想,采用自頂向下的方法、劃分模塊來設(shè)計(jì)數(shù)字鐘的幾個模塊。通過課程設(shè)計(jì)深入理解計(jì)算機(jī)的基本原理和方法,加深對計(jì)算機(jī)組成的理解。要求:(1)通過對相應(yīng)文獻(xiàn)的收集、分析以及總結(jié),給出相應(yīng)課題的背景、意義及現(xiàn)狀研究分析。(2)通過課題設(shè)計(jì),掌握計(jì)算機(jī)組成原理的分析方法和設(shè)計(jì)方法。(3)學(xué)按要求編寫課程設(shè)計(jì)報告書,能正確闡述設(shè)計(jì)和實(shí)驗(yàn)結(jié)果。(4)學(xué)生應(yīng)抱著嚴(yán)謹(jǐn)認(rèn)真的態(tài)度積極投入到課程設(shè)計(jì)過程中,認(rèn)真查閱相應(yīng)文

2、獻(xiàn)以及實(shí)現(xiàn),給出個人分析、設(shè)計(jì)以及實(shí)現(xiàn)。應(yīng)當(dāng)提交的文件:(1)課程設(shè)計(jì)報告。(2)課程設(shè)計(jì)附件(源程序、各類圖紙、實(shí)驗(yàn)數(shù)據(jù)、運(yùn)行截圖等)。數(shù)字鐘的設(shè)計(jì)與制作摘 要 系統(tǒng)使用EDA技術(shù)設(shè)計(jì)了數(shù)字鐘,采用硬件描述語言VHDL按模塊化方式進(jìn)行設(shè)計(jì),然后進(jìn)行編程,時序仿真等。利用VHDL語言完成了數(shù)字鐘的設(shè)計(jì)。該數(shù)字鐘能實(shí)現(xiàn)時、分、秒計(jì)數(shù)的顯示功能,且以24小時循環(huán)計(jì)時。整個系統(tǒng)結(jié)構(gòu)簡單,使用方便,功能齊全,精度高,具有一定的開發(fā)價值。關(guān)鍵字 數(shù)字鐘;EDA;VHDL; 目錄1引言11.1課題的背景、目的11.2設(shè)計(jì)的內(nèi)容12 EDA、VHDL簡介22.1EDA技術(shù)22.2硬件描述語言VHDL2VHD

3、L的簡介2VHDL語言的特點(diǎn)2VHDL的設(shè)計(jì)流程33 數(shù)字鐘設(shè)計(jì)43.1數(shù)字鐘的工作原理43.2晶體振蕩器53.3分頻器電路63.4時、分、秒計(jì)數(shù)器電路64 系統(tǒng)仿真91.秒表計(jì)數(shù)器電路仿真圖92.小時計(jì)數(shù)器電路仿真圖93.分計(jì)數(shù)器電路仿真圖10結(jié)束語11致謝12參考文獻(xiàn)13附錄141 引 言隨著社會的發(fā)展,科學(xué)技術(shù)也在不斷的進(jìn)步。特別是計(jì)算機(jī)產(chǎn)業(yè),可以說是日新月異,數(shù)字鐘作為計(jì)算機(jī)的一個組成也隨之逐漸進(jìn)入人們的生活,從先前的采用半導(dǎo)體技術(shù)實(shí)現(xiàn)的數(shù)字鐘到現(xiàn)在廣泛應(yīng)用的采用高集成度芯片實(shí)現(xiàn)的數(shù)字鐘。數(shù)字鐘正在向著功能強(qiáng),體積小,重量輕等方向不斷發(fā)展,本設(shè)計(jì)主要介紹的是一個基于超高速硬件描述語言V

4、HDL對數(shù)字鐘中顯示電路進(jìn)行編程實(shí)現(xiàn)。近年來,集成電路和計(jì)算機(jī)應(yīng)用得到了高速發(fā)展,現(xiàn)代電子設(shè)計(jì)技術(shù)已邁入一個嶄新的階段,具體表現(xiàn)在:(1)電子器件及其技術(shù)的發(fā)展將更多地趨向于為EDA服務(wù);(2)硬件電路與軟件設(shè)計(jì)過程已高度滲透;(3)電子設(shè)計(jì)技術(shù)將歸結(jié)為更加標(biāo)準(zhǔn)、規(guī)范的EDA工具和硬件描述語言VHDL的運(yùn)用;(4)數(shù)字系統(tǒng)的芯片化實(shí)現(xiàn)手段已成主流。因此利用計(jì)算機(jī)和大規(guī)模復(fù)雜可編程邏輯器件進(jìn)行現(xiàn)代電子系統(tǒng)設(shè)計(jì)已成為電子工程類技術(shù)人員必不可少的基本技能之一。1.1課題的背景、目的本次設(shè)計(jì)的目的就是在掌握EDA實(shí)驗(yàn)開發(fā)系統(tǒng)的初步使用基礎(chǔ)上,了解EDA技術(shù),加深對計(jì)算機(jī)體系結(jié)構(gòu)的理解。通過學(xué)習(xí)的VHD

5、L語言結(jié)合電子電路的設(shè)計(jì)知識理論聯(lián)系實(shí)際,掌握所學(xué)的課程知識,學(xué)習(xí)VHDL基本單元電路的綜合設(shè)計(jì)應(yīng)用。通過對實(shí)用數(shù)字鐘的設(shè)計(jì),鞏固和綜合運(yùn)用計(jì)算機(jī)原理的基本理論和方法,理論聯(lián)系實(shí)際,提高IC設(shè)計(jì)能力,提高分析、解決計(jì)算機(jī)技術(shù)實(shí)際問題的獨(dú)立工作能力。通過課程設(shè)計(jì)深入理解計(jì)算機(jī)的組成原理,達(dá)到課程設(shè)計(jì)的目標(biāo)。1.2 設(shè)計(jì)的內(nèi)容利用VHDL設(shè)計(jì)數(shù)字鐘顯示電路的各個模塊,并使用EDA工具對各模塊進(jìn)行仿真驗(yàn)證。數(shù)字鐘顯示電路的設(shè)計(jì)分為下面幾個模塊:秒計(jì)數(shù)模塊、分計(jì)數(shù)模塊、小時計(jì)數(shù)模塊.。完成以后把各個模塊整合后,顯示相應(yīng)的輸出狀態(tài)。2 EDA、VHDL簡介2.1 EDA技術(shù) EDA是電子設(shè)計(jì)自動化(El

6、ectronic Design Automation)縮寫,EDA是以計(jì)算機(jī)為工具,根據(jù)硬件描述語言HDL( Hardware Description language)完成的設(shè)計(jì)文件,自動地完成邏輯編譯、化簡、分割、綜合及優(yōu)化、布局布線、仿真以及對于特定目標(biāo)芯片的適配編譯和編程下載等工作。典型的EDA工具中必須包含兩個特殊的軟件包,即綜合器和適配器。綜合器的功能就是將設(shè)計(jì)者在EDA平臺上完成的針對某個系統(tǒng)項(xiàng)目的HDL、原理圖或狀態(tài)圖形描述,針對給定的硬件系統(tǒng)組件,進(jìn)行編譯、優(yōu)化、轉(zhuǎn)換和綜合,最終獲得我們欲實(shí)現(xiàn)功能的描述文件。綜合器在工作前,必須給定所要實(shí)現(xiàn)的硬件結(jié)構(gòu)參數(shù),它的功能就是將軟件描

7、述與給定的硬件結(jié)構(gòu)用一定的方式聯(lián)系起來。 2.2 硬件描述語言VHDL VHDL的簡介 VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,誕生于1982年。1987年底,VHDL被IEEE和美國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言 。自IEEE公布了VHDL的標(biāo)準(zhǔn)版本,IEEE-1076(簡稱87版)之后,各EDA公司相繼推出了自己的VHDL設(shè)計(jì)環(huán)境,或宣布自己的設(shè)計(jì)工具可以和VHDL接口。此后VHDL在電子設(shè)計(jì)領(lǐng)域得到了廣泛的接受,并逐步取代了原有的非標(biāo)準(zhǔn)的硬件描述語言。1993年,IEEE對VHDL進(jìn)

8、行了修訂,從更高的抽象層次和系統(tǒng)描述能力上擴(kuò)展VHDL的內(nèi)容,公布了新版本的VHDL,即IEEE標(biāo)準(zhǔn)的1076-1993版本,(簡稱93版)?,F(xiàn)在,VHDL和Verilog作為IEEE的工業(yè)標(biāo)準(zhǔn)硬件描述語言,又得到眾多EDA公司的支持,在電子工程領(lǐng)域,已成為事實(shí)上的通用硬件描述語言。有專家認(rèn)為,在新的世紀(jì)中,VHDL于Verilog語言將承擔(dān)起大部分的數(shù)字系統(tǒng)設(shè)計(jì)任務(wù)。 VHDL語言的特點(diǎn)1.用VHDL代碼而不是用原理圖進(jìn)行設(shè)計(jì),意味著整個電路板的模型及性能可用計(jì)算機(jī)模擬進(jìn)行驗(yàn)證。2.VHDL元件的設(shè)計(jì)與工藝u無關(guān),與工藝獨(dú)立,方便工藝轉(zhuǎn)換。3.VHDL支持各種設(shè)計(jì)方法,自頂向下、自底向上或者

9、混合的都可以。4.可以進(jìn)行從系統(tǒng)級到邏輯級的描述,即混合描述。5.VHDL區(qū)別于其他的HDL,已形成標(biāo)準(zhǔn),其代碼在不同的系統(tǒng)中可交換建模。 VHDL的設(shè)計(jì)流程1.設(shè)計(jì)規(guī)范的定義明確這個系統(tǒng)有哪些設(shè)計(jì)要求,和你要想到達(dá)的目標(biāo)。2.采用VHDL進(jìn)行設(shè)計(jì)描述這部分包括設(shè)計(jì)規(guī)劃和程序的編寫。設(shè)計(jì)規(guī)劃主要包括設(shè)計(jì)方式的選擇及是否進(jìn)行模塊劃分。設(shè)計(jì)方式一般包括直接設(shè)計(jì),自頂向下和自底向下設(shè)計(jì),這個和其他軟件語言差不多。最重要還是模塊劃分。3.VHDL程序仿真4.綜合、優(yōu)化和布局布線綜合指的是將設(shè)計(jì)描述轉(zhuǎn)化成底層電路的表示形式,其結(jié)果是一個網(wǎng)表或者是一組邏輯方程;優(yōu)化,這個主要是為了提高程序的執(zhí)行效率及減少

10、資源的利用;布局布線,指的是將邏輯關(guān)系轉(zhuǎn)化成電路連接的方式。5.仿真這個與VHDL程序仿真不同,這個不僅是對邏輯方面的驗(yàn)證,還要進(jìn)行時序功能驗(yàn)證。6.器件編程3 數(shù)字鐘設(shè)計(jì)3.1數(shù)字鐘的工作原理 數(shù)字鐘是一個將“ 時”,“分”,“秒”顯示于人的視覺器官的計(jì)時裝置。它的計(jì)時周期為24小時,顯示滿刻度為23時59分59秒,另外應(yīng)有校時功能和一些顯示星期、報時、停電查看時間等附加功能。因此,一個基本的數(shù)字鐘電路主要由譯碼顯示器、“時”,“分”,“秒”,“星期”計(jì)數(shù)器、校時電路、報時電路和振蕩器組成。干電路系統(tǒng)由秒信號發(fā)生器、“時、分、秒、星期”計(jì)數(shù)器、譯碼器及顯示器、校時電路、整點(diǎn)報時電路組成。秒信

11、號產(chǎn)生器是整個系統(tǒng)的時基信號,它直接決定計(jì)時系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實(shí)現(xiàn)。將標(biāo)準(zhǔn)秒信號送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個“分脈沖”信號,該信號將作為“分計(jì)數(shù)器”的時鐘脈沖?!胺钟?jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個“時脈沖”信號,該信號將被送到“時計(jì)數(shù)器”?!皶r計(jì)數(shù)器”采用24進(jìn)制計(jì)時器,可實(shí)現(xiàn)對一天24小時的累計(jì)。每累計(jì)24小時,發(fā)出一個“星期脈沖”信號,該信號將被送到“星期計(jì)數(shù)器”,“星期計(jì)數(shù)器” 采用7進(jìn)制計(jì)時器,可實(shí)現(xiàn)對一周7天的累計(jì)。工作原理圖如圖3.1所示。 圖3.1 數(shù)字鐘的工作原理圖3.2晶體振蕩器 晶體振蕩

12、電路是構(gòu)成數(shù)字式時鐘的核心,它保證了時鐘走時準(zhǔn)確及穩(wěn)定。晶體振蕩器它的作用是產(chǎn)生時間標(biāo)準(zhǔn)信號。數(shù)字鐘的精度主要取決于時間標(biāo)準(zhǔn)信號的頻率及其穩(wěn)定度。因此,一般采用石英晶體振蕩器經(jīng)過分頻得到這一信號。晶體振蕩器電路給數(shù)字鐘提供一個頻率穩(wěn)定準(zhǔn)確的32768Hz的方波信號,可保證數(shù)字鐘的走時準(zhǔn)確及穩(wěn)定。不管是指針式的電子鐘還是數(shù)字顯示的電子鐘都使用了晶體振蕩器電路。如圖3.2所示晶體振蕩電路框圖。 圖3.2 晶體振蕩電路3.3分頻器電路分頻器電路將32768HZ的高頻方波信號經(jīng)32768次分頻后得到1Hz的方波信號供秒計(jì)數(shù)器進(jìn)行計(jì)數(shù)。分頻器實(shí)際上也就是計(jì)數(shù)器。本次設(shè)計(jì)是運(yùn)用了CD4060分頻器進(jìn)行分頻

13、,分頻電路可提供512HZ和1024HZ的頻率,在經(jīng)CD4027分頻器進(jìn)行一分頻,為此電路輸送一秒脈沖。2進(jìn)制計(jì)數(shù)器我們采用CMOS管CD4013B。CD4013B其實(shí)是一個雙D型觸發(fā)器。它是由兩個相同的、獨(dú)立的數(shù)據(jù)型觸發(fā)器組成。每個觸發(fā)器有獨(dú)立的數(shù)據(jù)、置位、復(fù)位、時鐘輸入和Q輸出。在時鐘脈沖正變化沿時預(yù)置在D輸入的邏輯電平轉(zhuǎn)換至Q輸出。時鐘置位和復(fù)位是獨(dú)立的,分別通過在置位或復(fù)位線上高電平完成。3.4 時、分、秒計(jì)數(shù)器電路(1)原理時、分、秒計(jì)數(shù)器電路有相似的地方,用兩個74LS161組成一個二十四進(jìn)制計(jì)數(shù)器,顯示023時。由分計(jì)數(shù)器送來的進(jìn)位脈沖送入時個位計(jì)數(shù)器,計(jì)10小時清零并向時十位計(jì)

14、數(shù)器送進(jìn)位脈沖,當(dāng)十位輸出為二,個位輸出為四時將整個電路清零并向下一級的星期顯示電路送進(jìn)位脈沖。本電路也可理解為用兩個74LS161組成一個一百進(jìn)制計(jì)數(shù)器顯示099,當(dāng)計(jì)數(shù)到24是將整個電路清零。時、分、秒計(jì)數(shù)器都需要用譯碼電路和LED數(shù)碼管進(jìn)行譯碼和顯示。時、分、秒計(jì)數(shù)器電路如圖3.3所示。圖3.3 時、分、秒計(jì)數(shù)器電路 時、分、秒電路部分代碼如下:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity second is port(clk:in std_logic; reset:i

15、n std_logic; setmin:in std_logic; enmin:out std_logic; daout:out std_logic_vector(6 downto 0); end entity second; architecture art of second is signal count:std_logic_vector(6 downto 0); signal enmin_1,enmin_2:std_logic;begindaout<=count;enmin_2<=(setmin and clk);enmin<=(enmin_1 or enmin_2)

16、;process(clk,reset,setmin)begin if(reset='0')then count<="0000000"enmin_1<='0'elsif(clk'event and clk='1')then if(count(3 downto 0)="1001") then if(count<16#60#)then if(count="101101")then enmin_1<='1'count<="00000

17、00" else count<=count+7; end if; else count<="0000000"end if; elsif (count<16#60#)then count<=count+1; enmin_1<='0' else count<="0000000"enmin_1<='0' end if; end if;end process;end art; 4 系統(tǒng)仿真1. 秒表計(jì)數(shù)器電路仿真圖秒表計(jì)數(shù)器電路仿真圖如圖4.1:將標(biāo)準(zhǔn)秒信號送入“秒計(jì)數(shù)器”,“秒

18、計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個“分脈沖”信號,該信號將作為“分計(jì)數(shù)器”的時鐘脈沖。 圖4.1 秒計(jì)數(shù)器電路仿真圖2 小時計(jì)數(shù)器電路仿真圖時計(jì)數(shù)器電路仿真圖如圖4.2:“時計(jì)數(shù)器”采用24進(jìn)制計(jì)時器,可實(shí)現(xiàn)對一天24小時的累計(jì)。每累計(jì)24小時,發(fā)出一個“星期脈沖”信號,該信號將被送到“星期計(jì)數(shù)器”,圖4.2 小時計(jì)數(shù)器電路仿真圖3分計(jì)數(shù)器電路仿真圖分計(jì)數(shù)器電路仿真圖如圖4.3:“分計(jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個“時脈沖”信號,該信號將被送到“時計(jì)數(shù)器”。圖4.3 分計(jì)數(shù)器電路仿真圖結(jié)束語通過兩星期的緊張工作,最后完成了我的設(shè)計(jì)任務(wù)數(shù)字鐘的設(shè)計(jì)與制作。通過

19、本次課程設(shè)計(jì)的學(xué)習(xí),我深深的體會到設(shè)計(jì)課的重要性和目的性所在。本次設(shè)計(jì)課不僅僅培養(yǎng)了我們實(shí)際操作能力,也培養(yǎng)了我們靈活運(yùn)用課本知識,理論聯(lián)系實(shí)際,獨(dú)立自主的進(jìn)行設(shè)計(jì)的能力。它不僅僅是一個學(xué)習(xí)新知識新方法的好機(jī)會,同時也是對我所學(xué)知識的一次綜合的檢驗(yàn)和復(fù)習(xí),使我明白了自己的缺陷所在,從而查漏補(bǔ)缺。希望學(xué)校以后多安排一些類似的實(shí)踐環(huán)節(jié),讓同學(xué)們學(xué)以致用。課程設(shè)計(jì)中要求要有耐心和毅力,還要細(xì)心,稍有不慎,一個小小的錯誤就會導(dǎo)致結(jié)果的不正確,而對錯誤的檢查要求我要有足夠的耐心,通過這次設(shè)計(jì)和設(shè)計(jì)中遇到的問題,也積累了一定的經(jīng)驗(yàn),對以后從事集成電路設(shè)計(jì)工作會有一定的幫助。在應(yīng)用VHDL的過程中讓我真正領(lǐng)

20、會到了其并行運(yùn)行與其他軟件(C語言)順序執(zhí)行的差別及其在電路設(shè)計(jì)上的優(yōu)越性。用VHDL硬件描述語言的形式來進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活,利用EDA軟件進(jìn)行編譯優(yōu)化仿真極大地減少了電路設(shè)計(jì)時間和可能發(fā)生的錯誤,降低了開發(fā)成本,這種設(shè)計(jì)方法必將在未來的數(shù)字系統(tǒng)設(shè)計(jì)中發(fā)揮越來越重要的作用。致 謝 本課程設(shè)計(jì)在選題和設(shè)計(jì)過程中得到了肖曉麗老師的悉心指導(dǎo),肖老師多次詢問課程設(shè)計(jì)進(jìn)程,并多次指導(dǎo)我對課程設(shè)計(jì)進(jìn)行修改,幫助我完善了該課程設(shè)計(jì)。在此對肖老師表示衷心的感謝。 參考文獻(xiàn)1 楊剛 VHDL數(shù)字控制系統(tǒng)設(shè)計(jì) 北京:電子工業(yè)出版社 20042曾繁泰 VHDL程序設(shè)計(jì) 北京:清華大學(xué)出版社 20013 黃仁

21、欣 DEA技術(shù)使用教程 北京:清華大學(xué)出版社 20064 曹欣燕 DEA技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì) 北京:清華大學(xué)出版社 2006附 錄1.秒計(jì)數(shù)器模塊的VHDL源程序: library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity second is port(clk:in std_logic; reset:in std_logic; setmin:in std_logic; enmin:out std_logic; daout:out std_logic_vector(6 downto 0); e

22、nd entity second; architecture art of second is signal count:std_logic_vector(6 downto 0); signal enmin_1,enmin_2:std_logic;begindaout<=count;enmin_2<=(setmin and clk);enmin<=(enmin_1 or enmin_2);process(clk,reset,setmin)begin if(reset='0')then count<="0000000"enmin_1&l

23、t;='0'elsif(clk'event and clk='1')then if(count(3 downto 0)="1001") then if(count<16#60#)then if(count="101101")then enmin_1<='1'count<="0000000" else count<=count+7; end if; else count<="0000000"end if; elsif (count&

24、lt;16#60#)then count<=count+1; enmin_1<='0' else count<="0000000"enmin_1<='0' end if; end if;end process;end art;2.分計(jì)數(shù)器模塊的VHDL源程序:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity minute is port(clk:in std_logic; clks:in std_logi

25、c; reset:in std_logic; sethour:in std_logic; enhour:out std_logic; daout:out std_logic_vector(6 downto 0); end entity minute; architecture art of minute is signal count:std_logic_vector(6 downto 0); signal enhour_1,enhour_2:std_logic;begindaout<=count;enhour_2<=(sethour and clks);enhour<=(e

26、nhour_1 or enhour_2);process(clk,reset,sethour)begin if(reset='0')then count<="0000000"enhour_1<='0'elsif(clk'event and clk='1')then if(count(3 downto 0)="1001") then if(count<16#60#)then if(count="101101")then enhour_1<='1

27、9; count<="0000000" else count<=count+7; enhour_1<='0' end if; else count<="0000000"end if; elsif (count<16#60#)then count<=count+1; enhour_1<='0'after 100 ns; else count<="0000000"enhour_1<='0' end if; end if;end proce

28、ss;end art;3.小時計(jì)數(shù)器模塊的VHDL源程序:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour is port(clk:in std_logic; reset:in std_logic; daout:out std_logic_vector(5 downto 0); end entity hour; architecture art of hour is signal count:std_logic_vector(5 downto 0); begindaou

29、t<=count;process(clk,reset)begin if(reset='0')then count<="000000"elsif(clk'event and clk='1')then if(count(3 downto 0)="1001") then if(count<16#23#)then count<=count+7; else count<="000000"end if; elsif (count<16#23#)then count<

30、=count+1; else count<="000000" end if; end if;end process;end art; 大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)撰寫規(guī)范本科生畢業(yè)設(shè)計(jì)(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究價值和實(shí)用價值的學(xué)術(shù)資料。它既是本科學(xué)生開始從事工程設(shè)計(jì)、科學(xué)實(shí)驗(yàn)和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對所進(jìn)行研究的適當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認(rèn)定的重要依據(jù)。畢業(yè)論文撰寫是本科生培養(yǎng)過程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國家及各專業(yè)部門制定的有關(guān)標(biāo)準(zhǔn),符合漢語語法規(guī)范。指導(dǎo)教師應(yīng)加強(qiáng)指導(dǎo),嚴(yán)格把關(guān)。1、論文結(jié)構(gòu)及要求論文包括題目、中文摘

31、要、外文摘要、目錄、正文、參考文獻(xiàn)、致謝和附錄等幾部分。1.1 題目論文題目應(yīng)恰當(dāng)、準(zhǔn)確地反映論文的主要研究內(nèi)容。不應(yīng)超過25字,原則上不得使用標(biāo)點(diǎn)符號,不設(shè)副標(biāo)題。1.2 摘要與關(guān)鍵詞1.2.1 摘要本科生畢業(yè)設(shè)計(jì)(論文)的摘要均要求用中、英兩種文字給出,中文在前。摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究內(nèi)容和主要結(jié)果或結(jié)論,文字要精煉,具有一定的獨(dú)立性和完整性,摘要一般應(yīng)在300字左右。摘要中不宜使用公式、圖表,不標(biāo)注引用文獻(xiàn)編號,避免將摘要寫成目錄式的內(nèi)容介紹。1.2.2 關(guān)鍵詞關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用技術(shù)詞條(參照相應(yīng)的技術(shù)術(shù)語標(biāo)準(zhǔn)),一般列35個

32、,按詞條的外延層次從大到小排列,應(yīng)在摘要中出現(xiàn)。1.3 目錄目錄應(yīng)獨(dú)立成頁,包括論文中全部章、節(jié)的標(biāo)題及頁碼。1.4 論文正文論文正文包括緒論、論文主體及結(jié)論等部分。1.4.1 緒論緒論一般作為論文的首篇。緒論應(yīng)說明選題的背景、目的和意義,國內(nèi)外文獻(xiàn)綜述以及論文所要研究的主要內(nèi)容。文管類論文的緒論是畢業(yè)論文的開頭部分,一般包括說明論文寫作的目的與意義,對所研究問題的認(rèn)識以及提出問題。緒論只是文章的開頭,不必寫章號。畢業(yè)設(shè)計(jì)(論文)緒論部分字?jǐn)?shù)不多于全部論文字?jǐn)?shù)的1/4。1.4.2 論文主體論文主體是論文的主要部分,要求結(jié)構(gòu)合理,層次清楚,重點(diǎn)突出,文字簡練、通順。論文主體的內(nèi)容要求參照大學(xué)本科

33、生畢業(yè)設(shè)計(jì)(論文)的規(guī)定第五章。論文主體各章后應(yīng)有一節(jié)“本章小結(jié)”。1.4.3 結(jié)論結(jié)論作為單獨(dú)一章排列,但不加章號。結(jié)論是對整個論文主要成果的歸納,要突出設(shè)計(jì)(論文)的創(chuàng)新點(diǎn),以簡練的文字對論文的主要工作進(jìn)行評價,一般為4001 000字。1.5 參考文獻(xiàn)參考文獻(xiàn)是論文不可缺少的組成部分,它反映了論文的取材來源和廣博程度。論文中要注重引用近期發(fā)表的與論文工作直接有關(guān)的學(xué)術(shù)期刊類文獻(xiàn)。對理工類論文,參考文獻(xiàn)數(shù)量一般應(yīng)在15篇以上,其中學(xué)術(shù)期刊類文獻(xiàn)不少于8篇,外文文獻(xiàn)不少于3篇;對文科類、管理類論文,參考文獻(xiàn)數(shù)量一般為1020篇,其中學(xué)術(shù)期刊類文獻(xiàn)不少于8篇,外文文獻(xiàn)不少于3篇。在論文正文中必

34、須有參考文獻(xiàn)的編號,參考文獻(xiàn)的序號應(yīng)按在正文中出現(xiàn)的順序排列。產(chǎn)品說明書、各類標(biāo)準(zhǔn)、各種報紙上刊登的文章及未公開發(fā)表的研究報告(著名的內(nèi)部報告如PB、AD報告及著名大公司的企業(yè)技術(shù)報告等除外)不宜做為參考文獻(xiàn)引用。但對于工程設(shè)計(jì)類論文,各種標(biāo)準(zhǔn)、規(guī)范和手冊可作為參考文獻(xiàn)。引用網(wǎng)上參考文獻(xiàn)時,應(yīng)注明該文獻(xiàn)的準(zhǔn)確網(wǎng)頁地址,網(wǎng)上參考文獻(xiàn)不包含在上述規(guī)定的文獻(xiàn)數(shù)量之內(nèi)。1.6 致謝對導(dǎo)師和給予指導(dǎo)或協(xié)助完成論文工作的組織和個人表示感謝。內(nèi)容應(yīng)簡潔明了、實(shí)事求是,避免俗套。1.7 附錄如開題報告、文獻(xiàn)綜述、外文譯文及外文文獻(xiàn)復(fù)印件、公式的推導(dǎo)、程序流程圖、圖紙、數(shù)據(jù)表格等有些不宜放在正文中,但有參考價值

35、的內(nèi)容可編入論文的附錄中。2、論文書寫規(guī)定2.1 論文正文字?jǐn)?shù)理工類 論文正文字?jǐn)?shù)不少于20 000字。文管類 論文正文字?jǐn)?shù)12 00020 000字。其中漢語言文學(xué)專業(yè)不少于7 000字。外語類 論文正文字?jǐn)?shù)8 00010 000個外文單詞。藝術(shù)類 論文正文字?jǐn)?shù)3 0005 000字。2.2 論文書寫本科生畢業(yè)論文用B5紙計(jì)算機(jī)排版、編輯與雙面打印輸出。論文版面設(shè)置為:畢業(yè)論文B5紙、縱向、為橫排、不分欄,上下頁邊距分別為2.5cm和2cm,左右頁邊距分別為2.4cm和2cm,對稱頁邊距、左側(cè)裝訂并裝訂線為0cm、奇偶頁不同、無網(wǎng)格。論文正文滿頁為29行,每行33個字,字號為小四號宋體,每頁

36、版面字?jǐn)?shù)為957個,行間距為固定值20磅。頁眉。頁眉應(yīng)居中置于頁面上部。單數(shù)頁眉的文字為“章及標(biāo)題”;雙數(shù)頁眉的文字為“大學(xué)本科生畢業(yè)設(shè)計(jì)(論文)”。頁眉的文字用五號宋體,頁眉文字下面為2條橫線(兩條橫線的長度與版芯尺寸相同,線粗0.5磅)。頁眉、頁腳邊距分別為1.8cm和1.7cm。頁碼。頁碼用小五號字,居中標(biāo)于頁面底部。摘要、目錄等文前部分的頁碼用羅馬數(shù)字單獨(dú)編排,正文以后的頁碼用阿拉伯?dāng)?shù)字編排。2.3 摘要中文摘要一般為300字左右,外文摘要應(yīng)與中文摘要內(nèi)容相同,在語法、用詞和書寫上應(yīng)正確無誤,摘要頁勿需寫出論文題目。中、外文摘要應(yīng)各占一頁,編排裝訂時放置正文前,并且中文在前,外文在后。

37、2.4 目錄目錄應(yīng)包括論文中全部章節(jié)的標(biāo)題及頁碼,含中、外文摘要;正文章、節(jié)題目;參考文獻(xiàn);致謝;附錄。正文章、節(jié)題目(理工類要求編寫到第3級標(biāo)題,即.。文科、管理類可視論文需要進(jìn)行,編寫到23級標(biāo)題。)2.5 論文正文2.5.1 章節(jié)及各章標(biāo)題論文正文分章、節(jié)撰寫,每章應(yīng)另起一頁。各章標(biāo)題要突出重點(diǎn)、簡明扼要。字?jǐn)?shù)一般在15字以內(nèi),不得使用標(biāo)點(diǎn)符號。標(biāo)題中盡量不用英文縮寫詞,對必須采用者,應(yīng)使用本行業(yè)的通用縮寫詞。2.5.2 層次層次以少為宜,根據(jù)實(shí)際需要選擇。層次代號格式見表1和表2。表1 理工類論文層次代號及說明層次名稱示 例說 明章第1章 章序及章名居中排,章序用阿拉伯?dāng)?shù)字節(jié)1.1 題

38、序頂格書寫,與標(biāo)題間空1字,下面闡述內(nèi)容另起一段條1.1.1 款1.1.1.1 題序頂格書寫,與標(biāo)題間空1字,下面闡述內(nèi)容在標(biāo)題后空1字接排項(xiàng) (1) 題序空2字書寫,以下內(nèi)容接排,有標(biāo)題者,闡述內(nèi)容在標(biāo)題后空1字 版心左邊線 版心右邊線表2 文管類論文層次代號及說明章節(jié)條款項(xiàng)一、 (一) 1. (1)居中書寫空2字書寫空2字書寫空2字書寫空2字書寫 版心左邊線 版心右邊線各層次題序及標(biāo)題不得置于頁面的最后一行(孤行)。2.6 參考文獻(xiàn)正文中引用文獻(xiàn)標(biāo)示應(yīng)置于所引內(nèi)容最末句的右上角,用小五號字體。所引文獻(xiàn)編號用阿拉伯?dāng)?shù)字置于方括號“ ”中,如“二次銑削1”。當(dāng)提及的參考文獻(xiàn)為文中直接說明時,其

39、序號應(yīng)該與正文排齊,如“由文獻(xiàn)8,1014可知”。經(jīng)濟(jì)、管理類論文引用文獻(xiàn),若引用的是原話,要加引號,一般寫在段中;若引的不是原文只是原意,文前只需用冒號或逗號,而不用引號。在參考文獻(xiàn)之外,若有注釋的話,建議采用夾注,即緊接文句,用圓括號標(biāo)明。不得將引用文獻(xiàn)標(biāo)示置于各級標(biāo)題處。參考文獻(xiàn)書寫格式應(yīng)符合GB77141987文后參考文獻(xiàn)著錄規(guī)則。常用參考文獻(xiàn)編寫項(xiàng)目和順序應(yīng)按文中引用先后次序規(guī)定如下:著作圖書文獻(xiàn)序號作者書名(版次)出版地:出版者,出版年:引用部分起止頁 第一版應(yīng)省略翻譯圖書文獻(xiàn)序號作者書名(版次)譯者出版地: 出版者,出版年:引用部分起止頁 第一版應(yīng)省略學(xué)術(shù)刊物文獻(xiàn)序號作者文章名學(xué)

40、術(shù)刊物名年,卷(期):引用部分起止頁學(xué)術(shù)會議文獻(xiàn)序號作者文章名編者名會議名稱,會議地址,年份出版地,出版者,出版年:引用部分起止頁學(xué)位論文類參考文獻(xiàn)序號研究生名學(xué)位論文題目出版地學(xué)校(或研究單位)及學(xué)位論文級別答辯年份:引用部分起止頁 西文文獻(xiàn)中第一個詞和每個實(shí)詞的第一個字母大寫,余者小寫;俄文文獻(xiàn)名第一個詞和專有名詞的第一個字母大寫,余者小寫;日文文獻(xiàn)中的漢字須用日文漢字,不得用中文漢字、簡化漢字代替。文獻(xiàn)中的外文字母一律用正體。作者為多人時,一般只列出前3名作者,不同作者姓名間用逗號相隔。外文姓名按國際慣例,將作者名的縮寫置前,作者姓置后。學(xué)術(shù)會議若出版論文集者,可在會議名稱后加上“論文集

41、”字樣。未出版論文集者省去“出版者”、“出版年”兩項(xiàng)。會議地址與出版地相同者省略“出版地”。會議年份與出版年相同者省略“出版年”。學(xué)術(shù)刊物文獻(xiàn)無卷號的可略去此項(xiàng),直接寫“年,(期)”。參考文獻(xiàn)序號頂格書寫,不加括號與標(biāo)點(diǎn),其后空一格寫作者名。序號應(yīng)按文獻(xiàn)在論文中的被引用順序編排。換行時與作者名第一個字對齊。若同一文獻(xiàn)中有多處被引用,則要寫出相應(yīng)引用頁碼,各起止頁碼間空一格,排列按引用順序,不按頁碼順序。參考文獻(xiàn)書寫格式示例見附錄1。2.7 名詞術(shù)語科技名詞術(shù)語及設(shè)備、元件的名稱,應(yīng)采用國家標(biāo)準(zhǔn)或部頒標(biāo)準(zhǔn)中規(guī)定的術(shù)語或名稱。標(biāo)準(zhǔn)中未規(guī)定的術(shù)語要采用行業(yè)通用術(shù)語或名稱。全文名詞術(shù)語必須統(tǒng)一。一些特

42、殊名詞或新名詞應(yīng)在適當(dāng)位置加以說明或注解。文管類專業(yè)技術(shù)術(shù)語應(yīng)為常見、常用的名詞。采用英語縮寫詞時,除本行業(yè)廣泛應(yīng)用的通用縮寫詞外,文中第一次出現(xiàn)的縮寫詞應(yīng)該用括號注明英文全文。2.8 計(jì)量單位物理量計(jì)量單位及符號一律采用中華人民共和國法定計(jì)量單位(GB310031021993,見附錄2),不得使用非法定計(jì)量單位及符號。計(jì)量單位符號,除用人名命名的單位第一個字母用大寫之外,一律用小寫字母。非物理單位(如件、臺、人、元、次等)可以采用漢字與單位符號混寫的方式,如“萬t·km”,“t/(人·a)”等。文稿敘述中不定數(shù)字之后允許用中文計(jì)量單位符號,如“幾千克至1 000kg”。表

43、達(dá)時刻時應(yīng)采用中文計(jì)量單位,如“上午8點(diǎn)45分”,不能寫成“8h45min”。計(jì)量單位符號一律用正體。2.9 外文字母的正、斜體用法按照GB310031021986及GB71591987的規(guī)定使用,即物理量符號、物理常量、變量符號用斜體,計(jì)量單位等符號均用正體。2.10 數(shù)字按國家語言文字工作委員會等七單位1987年發(fā)布的關(guān)于出版物上數(shù)字用法的規(guī)定,除習(xí)慣用中文數(shù)字表示的以外,一般均采用阿拉伯?dāng)?shù)字(參照附錄3)。2.11 公式原則上居中書寫。若公式前有文字(如“解”、“假定”等),文字頂格書寫,公式仍居中寫。公式末不加標(biāo)點(diǎn)。公式序號按章編排,如第1章第一個公式序號為“(1-1)”,附錄2中的第

44、一個公式為(-1)等。 文中引用公式時,一般用“見式(1-1)”或“由公式(1-1)”。公式中用斜線表示“除”的關(guān)系時,若分母部分為乘積應(yīng)采用括號,以免含糊不清,如a/(bcosx)。通?!俺恕钡年P(guān)系在前,如acosx/b而不寫(a/b)cosx。2.12 插表表格不加左、右邊線。表序一般按章編排,如第1章第一個插表的序號為“表11”等。表序與表名之間空一格,表名中不允許使用標(biāo)點(diǎn)符號,表名后不加標(biāo)點(diǎn)。表序與表名置于表上,居中排寫(見附錄4)。表頭設(shè)計(jì)應(yīng)簡單明了,盡量不用斜線。表頭中可采用化學(xué)符號或物理量符號。全表如用同一單位,將單位符號移到表頭右上角,加圓括號(見附錄4中的例2)。表中數(shù)據(jù)應(yīng)正

45、確無誤,書寫清楚。數(shù)字空缺的格內(nèi)加“”字線(占2個數(shù)字寬度)。表內(nèi)文字和數(shù)字上、下或左、右相同時,不允許用“”、“同上”之類的寫法,可采用通欄處理方式(見附錄4中的例2)。表內(nèi)文字說明不加標(biāo)點(diǎn)。文管類的插表在表下一般根據(jù)需要可增列補(bǔ)充材料、注解、附記、資料來源、某些指標(biāo)的計(jì)算方法等。表內(nèi)文字說明,起行空一格,轉(zhuǎn)行頂格,句末不加標(biāo)點(diǎn)。表題用五號字,表內(nèi)文字及表的說明文字均用五號字,中文用宋體。表格容量較大,必要時表格也可分為兩段或多段(這只能發(fā)生在轉(zhuǎn)頁時),轉(zhuǎn)頁分段后的每一續(xù)表的表頭都應(yīng)重新排字,重排表頭的續(xù)表上方右側(cè)應(yīng)注明(續(xù)表×)字樣。2.13 插圖插圖應(yīng)與文字緊密配合,文圖相符,

46、技術(shù)內(nèi)容正確。2.13.1 制圖標(biāo)準(zhǔn)插圖應(yīng)符合技術(shù)制圖及相應(yīng)專業(yè)制圖的規(guī)定。機(jī)械工程圖:采用第一角投影法,應(yīng)符合附錄5所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。電氣圖:圖形符號、文字符號等應(yīng)符合附錄6所列有關(guān)標(biāo)準(zhǔn)的規(guī)定。流程圖:符合國家標(biāo)準(zhǔn)。對無規(guī)定符號的圖形應(yīng)采用該行業(yè)的常用畫法。2.13.2 圖題及圖中說明每個圖均應(yīng)有圖題(由圖號和圖名組成)。圖號按章編排,如第1章第一圖的圖號為“圖1-1”等。圖題置于圖下。有圖注或其他說明時應(yīng)置于圖題之上。圖名在圖號之后空一格排寫。引用圖應(yīng)說明出處,在圖題右上角加引用文獻(xiàn)編號。圖中若有分圖時,分圖號用a)、b)等置于分圖之下。圖中各部分說明應(yīng)采用中文(引用的外文圖除外)或數(shù)字

47、項(xiàng)號,各項(xiàng)文字說明置于圖題之上(有分圖題者,置于分圖題之上)。圖題用五號字,圖內(nèi)文字及說明均用五號字,中文用宋體。2.13.3 插圖編排插圖與其圖題為一個整體,不得拆開排寫于兩頁。插圖應(yīng)編排在正文提及之后,插圖處的該頁空白不夠排寫該圖整體時,則可將其后文字部分提前排寫,將圖移到次頁最前面。2.13.4 坐標(biāo)單位有數(shù)字標(biāo)注的坐標(biāo)圖,除無單位者(如標(biāo)示值)之外,必須注明坐標(biāo)單位。2.13.5 論文中照片圖及插圖畢業(yè)論文中的照片圖均應(yīng)是原版照片粘貼(或數(shù)碼像機(jī)圖片),照片可為黑白或彩色,應(yīng)主題突出、層次分明、清晰整潔、反差適中。照片采用光面相紙,不宜用布紋相紙。對金相顯微組織照片必須注明放大倍數(shù)。畢

48、業(yè)論文中的插圖不得采用復(fù)印件。對于復(fù)雜的引用圖,可采用數(shù)字化儀表輸入計(jì)算機(jī)打印出來的圖稿。2.14 附錄理工類論文附錄的序號采用“附錄1”、“附錄2”等,附錄順序?yàn)殚_題報告、文獻(xiàn)綜述、外文文獻(xiàn)的中文譯文及外文復(fù)印件等。文管類論文附錄序號相應(yīng)采用“附錄一”、“附錄二”等。3、論文排版要求3.1 紙張要求及頁面設(shè)置名稱格式要求紙張B5(182×257),幅面白色頁面設(shè)置上下頁邊距2.5cm和2cm,左右頁邊距2.4 cm和2cm,頁眉、頁腳分別為1.8cm和1.7cm,對稱頁邊距、左側(cè)裝訂并裝訂線為0cm、奇偶頁不同、無網(wǎng)格頁眉宋體字五號居中頁碼宋體字小五號居中3.2 封面(詳見模版、B

49、5紙單面打印)名稱格式要求本科畢業(yè)設(shè)計(jì)/論文宋體字小二號,行距固定值25磅 ,間距段前、段后分別為0.5行,要求字體居中論文題目黑體字二號,行距固定值25磅,間距段前、段后分別為0.5行。論文題目中文字?jǐn)?shù)不得超過25字,要求字體居中填寫姓名宋體字小三號, 行距固定值20磅 , 間距段前、段后分別為0.5行,要求字體居中大學(xué)楷體字小二號,行距固定值20磅, 間距段前、段后分別為0.5行。每字間空1格,要求字體居中年 月宋體字小三號,行距固定值20磅, 間距段前、段后分別為0.5行。數(shù)字用阿拉伯?dāng)?shù)字,日期為論文提交日期,要求字體居中3.3 封面2(詳見模版、B5紙單面打印)名稱格式要求本科畢業(yè)設(shè)計(jì)

50、/論文宋體字小二號,行距固定值25磅,間距段前、段后分別為0.5行,要求字體居中論文題目黑體字二號,行距固定值25磅,間距段前、段后分別為0.5行。論文題目中文字?jǐn)?shù)不得超過25字,要求字體居中學(xué)院(系)宋體字四號,行距固定值20磅,間距段前、段后分別為0.5行,字體左對齊專業(yè)同上學(xué)生姓名同上學(xué)號同上指導(dǎo)教師同上答辯日期同上3.4 本科畢業(yè)設(shè)計(jì)/論文 任務(wù)書(單面打印)本科畢業(yè)設(shè)計(jì)/論文B5紙,單面打印,不編頁碼3.5 中、英文摘要名稱中文摘要英文摘要標(biāo)題摘要:黑體字小二居中,行距固定值20磅,間距段前、段后分別為1行Abstract: Times New Roman體小二號居中,行距固定值20

51、磅,間距段前、段后分別為1行段落文字宋體字小四號,行距固定值20磅Times New Roman體小四號,行距固定值20磅關(guān)鍵詞同上,“關(guān)鍵詞”三字加粗同上,“Key Words”兩詞加粗頁碼羅馬大寫數(shù)字,Times New Roman體小五號字羅馬大寫數(shù)字,Times New Roman體小五號字3.6 目錄名稱示例格式要求標(biāo)題目錄黑體字小二號居中,行距固定值20磅,間距段前、段后分別為1行各章目錄格式范例黑體字小四號, 行距固定值20磅,兩端對齊,頁碼右對齊節(jié)標(biāo)題目錄格式范例宋體字小四號,行距固定值20磅,兩端對齊,頁碼右對齊,左縮進(jìn)2字符條標(biāo)題目錄格式范例宋體字小四號,行距固定值20磅,

52、兩端對齊,頁碼右對齊,左縮進(jìn)3字符(條標(biāo)題目錄文科左縮進(jìn)2字符)頁碼格式范例羅馬大寫數(shù)字,Times New Roman 體小五號字3.7 正文名 稱示例格式要求理工論文文科論文各章標(biāo)題 第1章 ××一、×××黑體字小二號居中,行距固定值20磅,間距段前、段后分別為1行,理工類章序號與章名間空一個漢字節(jié)標(biāo)題1.1 ××××(一)×××黑體字小三號,行距固定值20磅,間距段前、段后分別為0.5行,理工類題序與題名間空一個漢字條標(biāo)題1.1.1 ×××1、×××黑體字四號,行距

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論