偉福仿真器使用說明書_第1頁
偉福仿真器使用說明書_第2頁
偉福仿真器使用說明書_第3頁
偉福仿真器使用說明書_第4頁
偉福仿真器使用說明書_第5頁
已閱讀5頁,還剩51頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、WAVE系列仿真器使用說明偉福?第一章概述(1第二章仿真器硬件仿真頭介紹POD8X5X仿真頭.3POD196KB/KC仿真頭.4POD520仿真頭5POD196MC/MD仿真頭6POD8051仿真頭7POD16C5X仿真頭.8POD16C6X/7X仿真頭9PODLPC仿真頭10POD16C8X仿真頭11POD16C71X仿真頭.12POD87C52仿真頭.13POD552仿真頭.例4真器介紹15E2000L/E2000T/E2000S型仿真器G6W/G6S型仿真器17E51L/E51T/E51S型仿真器18LPC76即仿真器(19第三章軟件安裝WINDOWS版本軟件安裝2編譯器安裝.(22第四

2、章開發(fā)環(huán)境菜單|文件23菜單|編輯28菜單|搜索29菜單|項(xiàng)目30菜單|執(zhí)行31目錄0偉福目錄菜單|窗口(34菜單|仿真器(44菜單|仿真器|仿真器設(shè)置(44語言設(shè)置(44目標(biāo)文件設(shè)置(47仿真器設(shè)置(48通信設(shè)置(54菜單|仿真器|跟蹤器/邏輯分析儀設(shè)置(55菜單|幫助(57第五章分析功能使用影子存儲器(59程序時效分析(61數(shù)據(jù)時效分析(63邏輯分析儀(65波形發(fā)生器(75第六章DOS軟件使用一集成調(diào)試軟件使用(851.1 安裝盤內(nèi)容(851.2 軟件安裝(851.3 集成調(diào)試軟件介紹(871.4 菜單及功能介紹(991.5 速學(xué)實(shí)例(1091.6 WAVE匯編器(1131.7 軟件模擬器

3、(1181.8 高級語言調(diào)試環(huán)境(119二用戶板硬件測試(123三LPC76X編程(125四問與答(127WAVE系列仿真器使用說明偉福敬愛的用戶:您好!非常感謝您使用偉福系列仿真器。偉福仿真品種多、功能強(qiáng),和國內(nèi)外同類高檔仿真器功能相比,先進(jìn)的特點(diǎn)如下:1 .主機(jī)+POD組合,通過更換POD,可以對各種CPU進(jìn)行仿真。對待不同的應(yīng)用場合,用戶往往會選擇不同的CPU,從而需要更換仿真器,偉福仿真軟件WINDOWS版本支持本公司多種仿真器。支持多類CPU仿真。仿真器則采用主機(jī)+POD組合,通過更換不同的POD,可對各種不同類型的單片機(jī)進(jìn)行仿真。為用戶提供了一種靈活的多CPU仿真系統(tǒng)。2 .雙平臺

4、DOS版本,WINDOWS版本。其中WINDOWS版本功能強(qiáng)大。中文界面,英文界面可任選,用戶源程序的大小不再有任何限制,支持ASM,C,PLM語言混合編程,具有項(xiàng)目管理功能,為用戶的資源共享,課題重組提供強(qiáng)有力的手段。支持點(diǎn)屏顯示用鼠標(biāo)左鍵點(diǎn)一下源程序中的某一變量,即可顯示該變量的數(shù)值。有豐富的窗口顯示方式,多方位,動態(tài)地顯示仿真的各種過程,使用極為便利。本操作系統(tǒng)一經(jīng)推出,立即被廣大用戶所喜愛。3 .雙工作模式4 軟件模擬仿真(不要仿真器也能模擬仿真。4 .雙CPU結(jié)構(gòu),100%不占用戶資源全空間硬件斷點(diǎn),不受任何條件限制,支持地址、數(shù)據(jù)、外部信號、事件斷點(diǎn)、支持實(shí)時斷點(diǎn)計數(shù)、軟件運(yùn)行時間

5、統(tǒng)計。5 .雙集成環(huán)境編輯、編譯、下載、調(diào)試全部集中在一個環(huán)境下。多種仿真器,多類CPU仿真全部集成在一個環(huán)境下??煞抡?1系列,196系列,PIC系列,飛利蒲公司的552、LPC764、DALLAS320,華邦438等51增強(qiáng)型CPU。為了跟上形勢,現(xiàn)在很多工程師需要面對和掌握不同和項(xiàng)目管理器、編輯器、編譯器。他們由不同的廠家開發(fā),相互不兼容,使用不同的界面。學(xué)習(xí)使用都很吃力。偉福WINDOWS調(diào)試軟件為您提供了一個全集成環(huán)境,統(tǒng)一的界面,包含一個項(xiàng)目管理器,一個功能強(qiáng)大的編輯器,匯編Make、Build和調(diào)試工具并提供一個與第三方編譯器的接口。由概述1偉福.概述于風(fēng)格統(tǒng)一從而大大節(jié)省了您的

6、精力和時間。6 .強(qiáng)大的邏輯分析儀綜合調(diào)試功能。邏輯分析儀由交互式軟件菜單窗口對系統(tǒng)硬件的邏輯或進(jìn)序進(jìn)行同步實(shí)時采樣并實(shí)時在線調(diào)試分析,采集深度32K(E2000/L,最高時基采樣頻率達(dá)20M,40路波形的可精確實(shí)時反映用戶程序運(yùn)行時的歷史時間。系統(tǒng)在使用邏輯分析儀時,除普通的單步運(yùn)行、鍵盤斷點(diǎn)運(yùn)行、全速硬件斷點(diǎn)運(yùn)行外,還可實(shí)現(xiàn)各種條件組合斷點(diǎn)如:數(shù)據(jù)、地址、外部控制信號、CPU內(nèi)部控制信號、程序區(qū)間斷點(diǎn)等。由于邏輯儀可以直接對程序的執(zhí)行結(jié)果進(jìn)行分析,因此極大地便利于程序的調(diào)試。隨著科學(xué)技術(shù)的發(fā)展,單片機(jī)通訊方面的運(yùn)用越來越多。在通訊功能的調(diào)試時,如果通訊不正常,查找原因是非常耗時和低效的,您

7、很難搞清楚問題到底在什么地方,是波特率不對,是硬件信道有問題,是通訊協(xié)儀有問題,是發(fā)方出錯還是收方出錯。有了邏輯儀,情況則完全不一樣,用它可以分別或者同時對發(fā)送方、接收方的輸入或者輸出波形進(jìn)行記錄、存儲、對比、測量等各種直觀的分析,可以將實(shí)際輸出通訊報文的波形與源程序相比較,可立即發(fā)現(xiàn)問題所在。從而極大地方便了調(diào)試。7 .強(qiáng)大的追蹤器功能追蹤功能以總線周期為單位,實(shí)時記錄仿真過程中CPU發(fā)生的總線事件,其觸發(fā)條件方式同邏輯分析儀。追蹤窗口在仿真停止時可收集顯示追蹤的CPU指令記憶信息,可以以總線反匯編碼模式、源程序模式對應(yīng)顯示追蹤結(jié)果。屏幕窗口顯示波形圖最多追蹤記憶指令32K并通過仿真器的斷點(diǎn)

8、、單步、全速運(yùn)行或各種條件組合斷點(diǎn)來完成追蹤功能??偩€跟蹤可以跟蹤程序的運(yùn)行軌跡??梢越y(tǒng)計軟件運(yùn)行時問。WAVE系列仿真器使用說明偉福.仿真頭介紹POD8X5X仿真頭可配E2000系列,E51系列仿真器,用于仿真INTEL8031/51系列及兼容單片機(jī),可仿真CPU種類為8031/32,8051/52,8751/52/54/55/58,89C1051/2051/4051,華邦的78E51/52/54/58,LG的97C51/52/1051/2051.配有40腳DIP封裝的轉(zhuǎn)接座,可選配44腳PLCC封裝的轉(zhuǎn)接座.選配2051轉(zhuǎn)接可仿真20腳DIP封裝的XXC1051/2051/4051CPUE

9、2000/E51仿真器與POD8X5X連接圖34芯電纜20芯電纜晶振選擇跳線選擇仿真頭晶振選擇用戶板晶振仿真器硬件2POD196KB/KC仿真頭用于仿真INTEL80C196KB/KC單片機(jī)仿真頭34芯電纜G6W/G6s仿真器與POD196KC連接圖至G6W/G6s仿真器 POD520仿真頭用于仿真DALLAS的80C320,80C520,87C520,WINBOND的77E58高速單片機(jī),配有40腳DIP封裝的轉(zhuǎn)接座,可選配44腳PLCC封裝的轉(zhuǎn)接座.注意:若用戶使用到P4端口,在仿真時,請將P4地址定義為0A6H,仿真無誤后,燒結(jié)程序前編譯時,再將P4地址定義為0A5H進(jìn)行編譯.E2000

10、仿真器與POD520連接圖POD196MC/MD仿真頭用于仿真INTEL的80C196MC/MD單片機(jī)10芯插斯 兩組短接POD 196 連 接時.要將OOO。34芯電纜E2000仿真器與POD196MC連接圖G6W/G6s仿真器與POD196MC連接圖34芯電纜10芯插座兩組短接G6W/G6S。POD196連,接時,要將oOOooO至G6W/G6s仿真器POD8051仿真頭口34芯電纜晶振選擇跳線“選擇仿真頭晶振1選擇用戶OO板晶振用于仿真P0,P2口做為總線工作方式的8031/32,8051/52系列及兼容單片機(jī),可選配44腳PLCC封裝的轉(zhuǎn)接座,用于仿真PLCC封裝的芯片. POD16C

11、5X仿真頭E2000/G6W/G6s仿真器與POD8051連接圖用于仿真MICROCHIP公司的PIC16C5X系列單片機(jī),可仿真芯片有PIC16C52/54/55/56/57/58PIC16C508/509同時配有8腳/18腳/28腳三種DIP封裝轉(zhuǎn)接座,適用于不同的芯片.2SDIP仿真頭E2000/G6W/G6s仿真器與POD16C5X連接圖18DIP仿真頭8DIP仿真頭 POD16C6X/7X 仿真頭用于仿真MICROCHIP公司的PIC16C6X及7X系列單片機(jī).可仿真CPU有PIC16C554/556/558,PIC16C61PIC16C67,PIC16C71PIC16c77,PIC

12、16C710/711同時配有18腳/40腳DIP和28腳SDIP(300mil間距封裝轉(zhuǎn)接座,適用于不同芯片封裝.E2000/G6W/G6s仿真器與POD16C6X/7X連接圖28腳SDIP(300mil轉(zhuǎn)接座管腳圖18腳DIP轉(zhuǎn)接座管腳圖晶振選擇跳線PODLPC仿真頭,晶振選擇跳線選擇仿真頭晶振/g選擇用戶板晶振(34芯電纜)用于仿真PHILIPS的LPC76X系列單片機(jī),可仿真PHILIPS公司的87LPC762/764/767/769系歹U單片機(jī).POD16C8X仿真頭,晶振選擇跳線回三選擇仿真頭晶振芯電纜LI用于仿真MICROCHIP公司的PIC16C83/84,PIC16F83/84

13、單片機(jī).用于仿真MICROCHIP公司PIC16C71/710/711/61單片機(jī).系列單片機(jī),此種仿真頭適用于G6W/G6s型仿真器.可選配44腳PLCC封裝的轉(zhuǎn)接座,用于仿真PLCC封裝的芯片.選配2051轉(zhuǎn)接可仿真20腳DIP封裝的XXC1051/2051/4051CPU.POD552仿真頭用于仿真PHILIPS公司的80C552單片機(jī)E2000/G6W/G6s仿真器與POD552連接圖二.仿真器介紹E2000L/E2000T/E2000s型仿真器仿真器型號功能E2000/S通用仿真器(1-16位,15M總線速度硬件測試儀運(yùn)行時間統(tǒng)計邏輯筆(選配件WINDOWS版本、DOS版本雙平臺E2

14、000/T含E2000/S所有功能事件斷點(diǎn)、斷點(diǎn)記數(shù)跟蹤器影子存儲器全空間程序/數(shù)據(jù)時效分析器E2000/L含E2000/T所有功能邏輯分析儀(測試鉤為選配件可編程波形發(fā)生器配置仿真頭仿真頭型號可仿真CPUPOD8X5X8X5X系列(P0口和P2口作為總線或IO口用POD520Dalas310/320/510/520作邦77E58POD518X5X系列CPU(P0口和P2口作為總線用POD20512051、1051系列CPU(需與POD8x5x配合使用POD552Philips80C552POD438華邦438CPUPODLPCPhilipsLPC76XPOD196KC196KC/KBPOD1

15、96MC196MC/MD/MHPOD16C5XPIC16C52/54/55/56/57/5&PIC12C508/509POD16C6X/7XPIC16C61/62/63/64/65/67、PIC16C71/72/73/74POD16C71XPIC16C71X全系列POD16C8XPIC16C83/84新仿真頭偉福公司將為E2000系列開發(fā)新的仿真頭外形示意圖G6W/G6s型仿真器仿真器型號功能G6s通用仿真器(1-16位,10M總線速度硬件測試儀WINDOWS版本、DOS版本G6W含G6S所有功能WINDOWS版本、DOS版本雙平臺可配置仿真頭仿真頭型號可仿真CPUPOD87C528X

16、5X系列(P0口和P2口作為IO口用POD518X5X系列CPU(P0口和P2口作為總線用POD20512051、1051系列CPU(需與POD8752配合使用POD552Philips80C552POD196KC196KC/KBPOD196MC196MC/MDPOD16C5XPIC16C52/54/55/56/57/5&PIC12C508/509POD16C6X/7XPIC16C61/62/63/64/65/67、PIC16C71/72/73/74POD16C71XPIC16C71X全系列POD16C8XPIC16C83/84仿鹿頭型號可仿真CPUPOD37C528X5X系列(P0口

17、和四口作為1O口用PODS18X5X系列CPU«PO口和P2口作為總線HDPOD2051205k105系列CPU(需與POD87S2配合使用)POD552Philips8CIC552PODI96KCI96KC/KBPODI96MC196MC/MDPODI6C5XPfC16c52/X/55乃6/57/58.PtCL2C508/5WPODI6C6X/7XPIC16C61/6>/63/64/65;67PICL6C71/72/73/74PODI6C71XPIC16C7IX全系列PODI6C8XPIC16C83小+邏輯筆插座G6W/G6S上機(jī)9芯申口插座GCAV型仿良器E51L/E51T

18、/E51S51系列專用型仿真器仿真器型號功能E51/S51系列專用仿真器(8位,10M總線速度運(yùn)行時間統(tǒng)計邏輯筆(選配件WINDOWS版本、DOS版本雙平臺E51/T含E51/S所有功能跟蹤器E51/L含E51/T所有功能邏輯分析儀(外接8路,邏輯探鉤為選配件可配置仿真頭仿真頭型號可仿真CPUPOD8X5X8X5X系列(P0口和P2口作為總線或IO口用PODLPCPhilipsLPC76X外形示意圖邏輯測試的拙座H5I/L主機(jī)9芯串口插用WAVE系列仿真器使用說明偉福19?LPC76XPhilipsLPC76X系列專用型仿真器仿真器型號功能LPC76XLPC76X系列專用仿真器(8位,10總線

19、速度LPC76X編程器3.0V至5.0V寬電壓0至20MHz寬頻率WINDOWS版本、DOS版本雙平臺WAVE系列仿真器使用說明偉福21?WINDOWS版本軟件安裝1 .將1號安裝盤插入A:驅(qū)動器2 .有幾種方法可以執(zhí)行A:盤的安裝程序a.在我的電腦中打開A:驅(qū)動器,雙擊SETUP文件b.打開DOS窗口,DOS窗口命令彳T中輸入A:SETUP3 .按照安裝程序的提示,輸入相應(yīng)內(nèi)容.4 .按照提示插入下一張軟盤.5 .繼續(xù)安裝,直至結(jié)束.也可以將安裝盤全部復(fù)制到硬盤的一個目錄(文件夾中,執(zhí)行相應(yīng)目錄下的SETUP進(jìn)行安裝.注意:在安裝新版本軟件時,如果硬盤上已有老版本的軟件,請卸載舊版本軟件后再

20、安裝新軟件.在安裝過程中,如果用戶沒有指定安裝目錄,安裝完成后,會在C:盤建立一個C:WAVE目錄(文件夾,結(jié)構(gòu)如下:目錄內(nèi)容3C:WAVE卜BIN可執(zhí)行程序卜HELP幫助文件和使用說明LSAMPLES樣例和演示程序3軟件安裝偉福軟件安裝22?編譯器安裝偉福仿真系統(tǒng)已內(nèi)嵌匯編編譯器(偉福匯編器,同時留有第三方的編譯器的接口方便用戶使用高級語言調(diào)試程序.編譯器請用戶自備.安裝51系列CPU的編譯器1 .進(jìn)入盤根目錄,建立C:COMP51子目錄(文件夾2 .將第三方的51編譯器復(fù)制到C:COMP51子目錄(文件夾下.3 .在主菜單|仿真器|仿真器設(shè)置|語言對話框的編譯器路徑指定為C:COMP51(

21、參見仿真器設(shè)置J如果用戶將第三方編譯器安裝在硬盤的其它位置,請?jiān)诰幾g器路徑指明其位置.安裝96系歹CPU的編譯器1 .進(jìn)入盤根目錄,建立C:COMP96子目錄(文件夾2 .將第三方的96編譯器復(fù)制到C:COMP96子目錄(文件夾下.3 .在主菜單|仿真器|仿真器設(shè)置|語言對話框的編譯器路徑指定為C:COMP96(參見仿真器設(shè)置J如果用戶將第三方編譯器安裝在硬盤的其它位置,請?jiān)诰幾g器路徑指明其位置.安裝PIC系列CPU的編譯器1進(jìn)入盤根目錄,建立C:COMPPIC子目錄(文件夾2將第三方的96編譯器復(fù)制到C:COMPPIC子目錄(文件夾下.3在主菜單|仿真器|仿真器設(shè)置|語言對話框的編譯器路徑指

22、定為C:COMPPIC(參見仿真器設(shè)置J如果用戶將第三方編譯器安裝在硬盤的其它位置,請?jiān)诰幾g器路徑指明其位置.WAVE系列仿真器使用說明偉福23?8文件(F文件|打開文件打開用戶程序進(jìn)行編輯。如果文件已經(jīng)在項(xiàng)目中,可以在項(xiàng)目窗口中雙擊相應(yīng)文件名打開文件.文件|保存文件保存用戶程序。用戶在修改程序后,如果進(jìn)行編譯,則在編譯前,系統(tǒng)會自動將修改過的文件存盤.文件|新建文件建立一個新白用戶程序,在存盤的時候,系統(tǒng)會要求用戶輸入文件名.文件|另存為將用戶程序存成另外一個文件,原來的文件內(nèi)容不會改變文件|打開項(xiàng)目打開一個用戶項(xiàng)目,在項(xiàng)目中,用戶可以設(shè)置仿真類型。加入用戶程序,進(jìn)行編譯,調(diào)試。系統(tǒng)中只允許

23、打開一個項(xiàng)目打開一個項(xiàng)目或新建一個項(xiàng)目時,前一項(xiàng)目將自動關(guān)閉。,項(xiàng)目窗口:C:E200aSANIFLESCALJC.PRJ(圖:項(xiàng)目窗口偉福開發(fā)環(huán)境的項(xiàng)目文件包括仿真器設(shè)置,模塊文件包含文件.仿真器設(shè)置包括仿真器類型,仿真頭(POD類型,CPU類型,顯示格式和產(chǎn)生的目標(biāo)文件類型4開發(fā)環(huán)境可以用以下幾種方法設(shè)置仿真器.o在項(xiàng)目窗口中雙擊第一行,將打開仿真器設(shè)置窗口,對仿真器進(jìn)行設(shè)置.o按鼠標(biāo)右鍵,在彈出菜單中選擇仿真器設(shè)置.o主菜單仿真器|仿真器設(shè)置.加入模塊文件。按鼠標(biāo)右鍵,在彈出菜單中選擇加入模塊文件。主菜單項(xiàng)目|加入模塊文件加入包含文件o按鼠標(biāo)右鍵,在彈出菜單中選擇加入包含文件。主菜單項(xiàng)目

24、|加入包含文件用戶可以將以前單文件方式仿真轉(zhuǎn)為WINDOWS下的項(xiàng)目方式進(jìn)行仿真1 .主菜單文件|新建項(xiàng)目,在新建項(xiàng)目時,前一個項(xiàng)目自動關(guān)閉.2 .加入模塊文件時,選擇要調(diào)試的程序文件名,將文件加入項(xiàng)目.3 .將項(xiàng)目存盤.4 .編譯,運(yùn)行,調(diào)試項(xiàng)目.文件|保存項(xiàng)目將用戶項(xiàng)目存盤。用戶在編譯項(xiàng)目時,自動存盤.注意:當(dāng)用項(xiàng)目仿真時,系統(tǒng)要求項(xiàng)目文件,模塊文件,包含文件在同一個目錄(文件夾下.文件|新建項(xiàng)目當(dāng)用戶開始新的任務(wù)時,應(yīng)新建一個項(xiàng)目,在項(xiàng)目中,設(shè)置所用仿真器類型,POD類型,加入用戶程序(模塊。文件|項(xiàng)目另存為將項(xiàng)目換名存盤,此方法只是將項(xiàng)目用另一個名字,而不會將項(xiàng)目中的模塊和包含文件換成

25、另一個名字存盤.如果想將整個項(xiàng)目及模塊存到另一個地方,請用復(fù)制項(xiàng)目方法.文件|復(fù)制項(xiàng)目復(fù)制項(xiàng)目,用戶可以將項(xiàng)目中的所有模塊(用戶程序備份到另一個地方。在多模塊項(xiàng)目中,用復(fù)制項(xiàng)目功能,可以避免用戶因?yàn)樯購?fù)制某些模塊,而造成項(xiàng)目編譯不能通過.方便用戶對程序進(jìn)行管理.調(diào)入目標(biāo)文件復(fù)制項(xiàng)目對話框.從項(xiàng)目欄中為當(dāng)前被復(fù)制項(xiàng)目包括項(xiàng)目中各模塊,包含文件,如果不是復(fù)制當(dāng)前項(xiàng)目,可以通過瀏覽找到所要復(fù)制的項(xiàng)目,到目標(biāo)路徑中為項(xiàng)目復(fù)制到何處,可以通過其后的瀏覽指定將項(xiàng)目復(fù)制到其它地方.文件|調(diào)入目標(biāo)文件裝入用戶已編譯好目標(biāo)文件。系統(tǒng)支持兩種目標(biāo)文件格式,BIN,HEX(圖:調(diào)入目標(biāo)文件對話框調(diào)入已經(jīng)編譯好的目標(biāo)

26、文件目標(biāo)文件格式有二種:二進(jìn)制(BIN:由編譯器生成的二進(jìn)制文件,也就是程序的機(jī)器碼英特爾格式(HEX.由英特爾定義的一種格式,用ASCII碼來存儲編譯器生成的二進(jìn)制代碼,這種格式包括地址,數(shù)據(jù)和校驗(yàn)地址選擇一般為缺省地址(由編譯器定.如果想在當(dāng)前項(xiàng)目已編譯好的二進(jìn)制代碼中插入一段其它代碼,可以去掉”缺省地址”前的選擇.然后填入開始插入的地址和結(jié)束地址.用調(diào)入目標(biāo)文件的方法,可以調(diào)試已有的二進(jìn)制代碼程序.而不需要源程序.直接調(diào)入目標(biāo)文件進(jìn)行仿真的方法是:1 .新建項(xiàng)目.2 .在新建的項(xiàng)目中,設(shè)置仿真器類型,仿真頭類型,CPU類型.3 .調(diào)入目標(biāo)文件.(不要用加入模塊方式,而是直接調(diào)入文件4 .

27、打開CPU窗口,在CPU窗口中就可以看見目標(biāo)文件反匯編生成的程序.5 .程序停在與CPU相關(guān)的地址上(51系列停在0000H處,96系列停在2080H6 .這樣就可以單步或全速調(diào)試程序了.文件|保存目標(biāo)文件將用戶編譯生成的目標(biāo)文件存盤對于按項(xiàng)目方式仿真的用戶,系統(tǒng)將程序編譯正確后,會根據(jù)用戶在仿真器|仿真器設(shè)置下目標(biāo)文件中設(shè)置的格式,將生成的二進(jìn)制代碼存盤.如果用戶是用調(diào)入目標(biāo)文件方式進(jìn)行仿真,并且對目標(biāo)碼進(jìn)行了修改.就可以用文件保存目標(biāo)文件方式存盤.目標(biāo)文件可以存成兩種格式二進(jìn)制格式(BIN:由編譯器生成的二進(jìn)制文件,也就是程序的機(jī)器碼英特爾格式(HEX:由英特爾定義的一種格式,用ASCII

28、碼來存儲編譯器生成的二進(jìn)制代碼,這種格式包括地址,數(shù)據(jù)和校驗(yàn)地址選擇一般為缺省地址(由編譯器定.如果想要存盤的目標(biāo)文件是由“調(diào)入目標(biāo)文件”方式裝入,而不是由系統(tǒng)編譯產(chǎn)生的代碼,并已經(jīng)修改,最好指定它的開始地址和結(jié)束地址,因?yàn)榇a不是編譯系統(tǒng)產(chǎn)生的.系統(tǒng)不知道文件有多長,無法指定開始和結(jié)束地址.自己指定地址的方法是:去掉缺省地址前的選擇勾.然后填入開始插入的地址和結(jié)束地址文件|打印打印當(dāng)前窗口內(nèi)容,可以是用戶程序,也可以是邏輯分析儀波形,跟蹤器窗口文件|退出退出系統(tǒng),如果在退出以前有修改過的文件沒有存盤,系統(tǒng)將會提示是否把文件存盤.8編輯(E編輯|撤消鍵入取消上一次操作編輯|重復(fù)鍵入恢復(fù)被取消的

29、操作編輯|剪切刪除選定的正文,刪除的內(nèi)容被送到剪貼板上編輯|復(fù)制將選定的內(nèi)容,復(fù)制到剪貼板上編輯|粘帖將剪貼板的內(nèi)容插入光標(biāo)位置編輯|全選選定當(dāng)前窗口所有內(nèi)容。8搜索(S搜索|查找在當(dāng)前窗口中查找符號,字用??梢灾付▍^(qū)分大小寫方式全字匹配方式,可以向上/向下查找。搜索|替換在當(dāng)前窗口查找相應(yīng)文字,并替換成指定的文字,可以指定區(qū)分大小寫方式和全字匹配方式查找,可以在指定處替換,也可以全部替換。搜索|查找下一個查找文字符號下一次出現(xiàn)的地方搜索|項(xiàng)目中查找在項(xiàng)目所有模塊(文件中查找符號,字用。在項(xiàng)目所包含的文比較多時,用此方法可以很方便地查到字用在什么地方出現(xiàn)。搜索|轉(zhuǎn)到指定行將光標(biāo)轉(zhuǎn)到程序的某一行

30、。搜索|轉(zhuǎn)到指定地址/標(biāo)號將光標(biāo)轉(zhuǎn)到指定地址或標(biāo)號所在的位置。搜索|轉(zhuǎn)到當(dāng)前PC所在行將光標(biāo)轉(zhuǎn)到PC所在的程序位置。8項(xiàng)目(P項(xiàng)目|編譯編譯當(dāng)前窗口的程序。如有錯誤,系統(tǒng)將會指出錯誤所在的位置。項(xiàng)目|全部編全部編譯項(xiàng)目中所有的模塊(程序文件,包含文件。如有錯誤系統(tǒng)會指出錯誤所在位置。項(xiàng)目|加入模塊文件在當(dāng)前項(xiàng)目中添加一個模塊。項(xiàng)目|加入包含文件在當(dāng)前項(xiàng)目中添加一個包含文件8執(zhí)行(R執(zhí)行|全速執(zhí)行運(yùn)行程序執(zhí)行|跟蹤跟蹤程序執(zhí)行的每步,觀察程序運(yùn)行狀態(tài)。執(zhí)行|單步單步執(zhí)行程序,與跟蹤不同的是,跟蹤可以跟蹤到函數(shù)或過程的內(nèi)部,而單步執(zhí)行則不跟蹤到程序內(nèi)部。執(zhí)行|執(zhí)行到光標(biāo)處程序從當(dāng)前PC位置,全速執(zhí)

31、行到光標(biāo)所在的行。如果光標(biāo)所在行沒有可執(zhí)行代碼。則提示這行沒有代碼執(zhí)行|暫停暫停正在全速執(zhí)行的程序。執(zhí)行|復(fù)位終止調(diào)11t過程,程序?qū)⒈粡?fù)位。如果程序正在全速執(zhí)行,則應(yīng)先停止。執(zhí)行|設(shè)置PC將程序指針PC,設(shè)置到光標(biāo)所在行。程序?qū)墓鈽?biāo)所在行開始執(zhí)行。執(zhí)行|編輯觀察項(xiàng)觀察變量或表達(dá)式的值,可以將需要檢查和修改的值或表達(dá)式放到觀察窗口里以便檢查和修改。(圖:觀察項(xiàng)對話框表達(dá)式:用于輸入用戶所要求值的表達(dá)式.(例重復(fù)次數(shù):如果表達(dá)式為某一存儲變量,重復(fù)次數(shù)表示以此變量開始的連續(xù)N個地址白值.(例顯示格式:指定用何種方式顯示表達(dá)式的值.存貯區(qū)域:指明變量所在的區(qū)域.顯示類型:指定表達(dá)式為何種類型的變

32、量.缺省方式顯示:按照高級語言定義的方式顯示.存貯器內(nèi)容:以內(nèi)存方式顯示觀察內(nèi)容,也就是按地址順序顯示變量值,與變量類型無關(guān)求值:對表達(dá)式求值,并按顯示格式顯示在窗口內(nèi).加入觀察:將表達(dá)式加入觀察窗口中,以便隨時察看.編輯觀察:當(dāng)修改過窗口內(nèi)容后,按此鍵后,替代觀察窗口中的原觀察項(xiàng),如果選擇加入觀察,則會在觀察窗口中另加一個變量的觀察項(xiàng),以兩種格式觀察一個變量.取消:關(guān)閉編輯觀察項(xiàng)窗口執(zhí)行|設(shè)置/取消斷點(diǎn)將光標(biāo)所在行設(shè)為斷點(diǎn),如果該行原來已為斷點(diǎn),則取消該斷點(diǎn).四種方法可以在光標(biāo)處設(shè)置斷點(diǎn)1 .將光標(biāo)移到編輯窗口內(nèi),行左邊的空白處,光標(biāo)變成右上指箭頭,雙擊鼠標(biāo)左鍵,可以設(shè)置/取消斷點(diǎn).2 .使

33、用Ctrl-F8快捷鍵,可以在光標(biāo)所在行設(shè)置/取消斷點(diǎn)3 .右擊鼠標(biāo),彈出菜單,選擇設(shè)置/取消斷點(diǎn),4 .主菜單執(zhí)行/設(shè)置取消斷點(diǎn),也可以用Alt-R/B菜單快捷設(shè)置取消斷點(diǎn)斷點(diǎn)設(shè)置好后,當(dāng)前行左空白處出現(xiàn)X圖.如果斷點(diǎn)有效,則當(dāng)前行背景色為紅如果背景色為綠,說明當(dāng)前程序行不產(chǎn)生代碼,斷點(diǎn)無效.執(zhí)行|消除全部斷點(diǎn)消除程序中所有的斷點(diǎn)。讓程序全速執(zhí)行8窗口(W窗口|項(xiàng)目窗口打開項(xiàng)目窗口,以便在項(xiàng)目中加入模塊或包含文件。(圖:項(xiàng)目窗口窗口|信息窗口顯示系統(tǒng)編譯輸出的信息。如果程序有錯,會以圖標(biāo)形式指出,三表示錯誤,表示警告,表示通過在編譯信息行會有相關(guān)的生成文件,雙擊鼠標(biāo)左鍵,或擊右鍵在彈出菜單中

34、選擇“打開"功能,可以打開相關(guān)文件.(如果有編譯錯誤,雙擊左鍵,可以在源程序中指出錯誤所在行,有時前一行或后一行程序有錯,會造成當(dāng)前行編譯不通過.而將錯誤定位在本行,所以如果發(fā)現(xiàn)了錯誤,但在本行沒有發(fā)現(xiàn)錯誤,可以查查本行上下的程序例:編譯過程發(fā)現(xiàn)有錯.在信息窗口中看到在CALC.C文件第118行有202號錯誤,文字顯示錯誤類型是,"'DispVa'undefinedidentifier未定義DispVa標(biāo)識符.雙擊此信息行,系統(tǒng)將打開CALC.C文件,并且在源文件的118行,指出有錯,可以看至LDispVa和ls(中間有空格.原來應(yīng)為DispVals(.窗口

35、|觀察窗口項(xiàng)目編譯正確后,可以在觀察窗口中看到當(dāng)前項(xiàng)目中的所有模塊,及各模塊中的所在過程和函數(shù),及各個過程函數(shù)中的各個變量,結(jié)構(gòu)。如果能充分利用觀察窗口的強(qiáng)大功能,可以加快你開發(fā)速度-DlxlCALCPRJFTRAFSQWRITEUT(HR:0005«(5),工:09HgE;口FFH55)REABUT(HR:Q皿5H(5),EHTER:FALSE,B:0FFKI255) E-CALLFl:OOH(D)TO:TRUEAC:F小E11:TRUEEk:TRUEFCHAR:B8H(88),59H儂),5AH(90),54H(M)TIME:C:COOH(0),OOHCO),OOH(0),COM

36、don;L:OOOOOOCOH(0)陽:TRUEES:FLSERI:FALSEinto:rmATIiAF:模塊(圖:觀察窗口觀察窗口也可以用觀察數(shù)據(jù)時效分析,程序時效分析,代碼覆蓋以及影子存儲器等分析功能的結(jié)果.(參見各分析功能使用表示當(dāng)前項(xiàng)目,雙擊可以展開,觀察到項(xiàng)目中的模塊和項(xiàng)目所使用的變量表示項(xiàng)目中所包括的模塊.雙擊可以展開,觀察到項(xiàng)目中包含的過程函數(shù)日QPTRAPWEITELE(FTK:QF934M(63540I:OOHQ),B:OFFH(255)"PTR:口F834HG3540)。I:OOHSJ)60:OFFK(255)陽仙LW (PTR:口F834H6354口),EHTE

37、R.FALSE,E:口FFH4255),QATRAT|CADC±i Q田Q±i Q田QTINERODISPVALS CE: 00H(0J)_FVSKVAL 任:Q0F834叩H8 3095T7E-39), I; 0CH(0)POFVAL CI:。0H(D), F: QQF8340口H(B. 3Q96HE詢)JINDFUU CF:非法指針,工:0OH(O)JMAIN Cl; 00X(0) Tru in n.iu¥ HQ1 RM 仁Q0例:一個打開的項(xiàng)目,可以看項(xiàng)目中包括PTRAP,ATRAP,CALC三個模塊,其中PTRAP展開,PTRAP包括WRITELN和REA

38、DLN兩個函數(shù),可以看到展開的WRITELN函數(shù)使用個三個簡單變量:PTR,I,B.在展開的CALC模塊中包含了六個函數(shù).表示模塊中的函數(shù),雙擊可以觀察到模塊中所用到的變量.表示模塊或函數(shù)中使用的簡單變量.ds表示模塊或函數(shù)中使用的數(shù)組,雙擊可以展開數(shù)組,觀察數(shù)組中各值的變化.表示模塊或函數(shù)中使用的結(jié)構(gòu),雙擊可以展開結(jié)構(gòu),觀察結(jié)構(gòu)內(nèi)部變量值.TQFTKAPWETTELU(FTR:0FS34M(63540),工:COM(0),EOFFM(2S5)。FTE:07834X(635401。工;OOHC0)。B:0FFH(£55)圖(3REABUT(FTK:07930(&3540),E

39、NTER:FALSE,B:OFFK(255)例:一個展開的結(jié)構(gòu).結(jié)構(gòu)變量名為TIME,它包括一個數(shù)組變量和一個長整形變量,通過展開的數(shù)組,可以看到數(shù)組有四個元素,其中第二個元素在上次執(zhí)行過程中發(fā)生了變化,長整形變量L也發(fā)生變化.T表示模塊或函數(shù)中使用的指針表示模塊或函數(shù)中使用的標(biāo)號標(biāo)記顏色為紅,表示在上次執(zhí)行過程中變量值發(fā)生變化.標(biāo)記顏色為橄欖色,表示在上次執(zhí)行過程中,變量被訪問過.可以在彈出菜單中選擇加入觀察,把當(dāng)前行的變量放在窗口的最后,而不用展開復(fù)雜的項(xiàng)目,模塊來觀察某個變量.編輯觀察項(xiàng),可以打開”編輯觀察窗口”對當(dāng)前變量進(jìn)行修改,求值刪除觀察項(xiàng),刪除觀察窗口后變量.不再對此變量進(jìn)行觀察

40、.察看源程序,若窗口中當(dāng)前彳T是模塊文件,用此命令可以打開相應(yīng)的模塊文件.展開,收縮,用于展開/收縮當(dāng)前行的函數(shù),結(jié)構(gòu),數(shù)組.便于觀察.修改,對當(dāng)前行的變量進(jìn)行修改,在程序運(yùn)行時,給出所要求的值.以觀察程序在此值時,運(yùn)行的結(jié)果.窗口總在最上面,若此項(xiàng)被選中,則窗口會一直顯示在前面,覆蓋其它窗口.建議選中此項(xiàng),使窗口在最上面時,不要使窗口最大化,以免完全覆蓋其它窗口.在觀察窗口最下面的狀態(tài)行可以看到觀察項(xiàng)的更詳細(xì)信息,當(dāng)你在點(diǎn)擊一個項(xiàng)目時,可以看到該觀察項(xiàng)的類型(模塊,函數(shù)/過程,所在區(qū)域(CODE,DATA,BIT,XDATA等到,地址,數(shù)據(jù)類型(unsignedchar,unsignedin

41、t,rea等窗口|CPU窗口通過CPU窗口,可以看到你編譯正確的機(jī)器碼及反匯編程序,可以讓你更清楚地了解程序執(zhí)行過程。CPU窗口中還有SFR(特殊功能寄存器窗口和位窗口,讓你了解程序執(zhí)行過程中寄存器的變化。©CF哂口-口Ixl0O3BHEOHOUKA,DPTR名稱值003CHF508MOU08HtAACCFF叵00O3EH12O0B6LCRLL00B6HB00AC0DO041H783FMOUR0,H3FHDFH5EF0u回岫3H121BBCLCALL180CHDFLE0Irsi1°靜目仙6H80CCSJMPO0QEUIEQTJ.0OOiiSH22RET曲u目叫9HC209C

42、LR09HIF00pv0(W4BH2O094FJB的H.Q09DHPOFF0回岫EH1200C8LCALLOOCBHPl00F00051H853F83MOU83H.3FHP2FFOOSH8S4082MOU82H,P3FF盹5了HFOMOUX0DPTR,AflflIT£11fll-GLOGljhiI|iorh|i|n|"|PCOH00UD58H853F83HOU83H.3FHQ05BH8S4082MOU82H,40HIpsJK|SEU?00FSW:BOHHrSI:B4H$機(jī)器碼窗口內(nèi)為程序地址,機(jī)器碼,反匯編碼.窗口最左邊的為當(dāng)前PC所在行,為斷點(diǎn)行.在機(jī)器碼窗口內(nèi)也支持點(diǎn)屏

43、功能,在反匯編碼處,點(diǎn)擊寄存器,可以看到寄存器的值.在寄存器窗口內(nèi)為寄存器名稱和值.位窗口內(nèi)為所選寄存器的每一位名稱和值.可修改寄存器值,或其中某一位的值,以觀察程序執(zhí)行的結(jié)果.CPU窗口的狀態(tài)行顯示的是,當(dāng)前選中的寄存器名稱和地址,及寄存器中選中位的名稱和可尋址的位地址.機(jī)器碼窗口的彈出菜單執(zhí)行到光標(biāo)處:使程序從當(dāng)前PC值,全速執(zhí)行到光標(biāo)所在行,用這種方法可以在調(diào)試程序時,跳過一些不必要的指令.將程序停到所要求的位置上.轉(zhuǎn)到指定地址/標(biāo)號:將光標(biāo)跳到某個地址或標(biāo)號所在位置,以便察看相應(yīng)的程序,或使用”執(zhí)行到光標(biāo)處”功能,也可以設(shè)置斷點(diǎn)一將程序全速執(zhí)行到相應(yīng)位置.轉(zhuǎn)到當(dāng)前PC所在行:將光標(biāo)跳到

44、PC所在行,由于在檢查程序時,可能會將PC所在行移出當(dāng)前窗口,用這種方法可找回PC所在行.取消/設(shè)置斷點(diǎn):在光標(biāo)所在行,設(shè)置斷點(diǎn),使程序全速執(zhí)行到此處.若此行已是斷點(diǎn),再次點(diǎn)擊將取消該斷點(diǎn).寄存器窗口的彈出菜單加入觀察:將當(dāng)前寄存器放入觀察窗口,以方便隨時察看.修改:修改當(dāng)前寄存器值.在程序執(zhí)行時,可以用這種方法,把寄存器值改為你所指定的值,從而觀察程序在此值時運(yùn)行的結(jié)果.窗口|數(shù)據(jù)窗口數(shù)據(jù)窗口根據(jù)選擇的CPU類型不同洛稱有所不同。51系列有以下四種數(shù)據(jù)窗口DATA內(nèi)部數(shù)據(jù)窗口CODE程序數(shù)據(jù)窗口XDATA外部數(shù)據(jù)窗口PDATA外部數(shù)據(jù)窗口(頁方式196系列有以下三種數(shù)據(jù)窗口REGISTER寄

45、存器窗口CODE程序數(shù)據(jù)窗口DATA數(shù)據(jù)窗口PIC系列有以下三種數(shù)據(jù)窗口EEPROM電擦寫數(shù)據(jù)窗口DATA數(shù)據(jù)窗口CODE程序數(shù)據(jù)窗口以51系列為例說明數(shù)據(jù)窗口的操作方法,其它CPU類型的數(shù)據(jù)窗口基本相同地址:0047H在內(nèi)部數(shù)據(jù)窗口中可以看到CPU內(nèi)部的數(shù)據(jù)值,紅色的為上一步執(zhí)行過程中,改變過的值,窗口狀態(tài)欄中為選中數(shù)據(jù)的地址,可以在選中的數(shù)據(jù)上直接修改數(shù)據(jù)的十六進(jìn)制值也可以用彈出菜單的修改功能,修改選中的數(shù)據(jù)值。彈出菜單:修改:修改選中數(shù)據(jù)的值,可以輸入十進(jìn)制,十六進(jìn)制,二進(jìn)制的值,與直接修改不同的是用這種方法可以輸入多種格式數(shù)據(jù),而直接修改只能輸入十六進(jìn)制數(shù)據(jù)。46(十進(jìn)制,2EH(十六

46、進(jìn)制,00101110B(二進(jìn)制都是有效的數(shù)據(jù)格式,顯示為:選擇不同的數(shù)據(jù)類型顯示數(shù)據(jù)內(nèi)容,可以是字節(jié)方式(BYTE,也可以是享方式(WORD,兩字節(jié),可以是長整型(LONGINT,四字節(jié),也可以是實(shí)數(shù)型(REAL,四字節(jié)。這里是選擇整個窗口的顯示方式,如果想指定個別數(shù)據(jù)的顯示方式,可以用主菜單/執(zhí)行/編輯觀察項(xiàng)功能,選擇所要選擇的顯示類型。(參見編輯觀察項(xiàng)窗口地址:QO44H程序數(shù)據(jù)窗口程序數(shù)據(jù)窗口顯示白是編譯后程序碼,狀態(tài)欄顯示的是選中數(shù)據(jù)的地址,可以對在選中數(shù)據(jù)上直接修改程序數(shù)據(jù)的十六進(jìn)制值,也可以對程序數(shù)據(jù)進(jìn)行塊填充塊移動'操作,也可以讀入一段二進(jìn)制代碼插入程序數(shù)據(jù)中,也可以將

47、程序數(shù)據(jù)中的某段代碼寫文件中.彈出菜單修改:修改選中數(shù)據(jù)的值,可以輸入十進(jìn)制,十六進(jìn)制,二進(jìn)制的值,與直接修改不同的是用這種方法可以輸入多種格式數(shù)據(jù),而直接修改只能輸入十六進(jìn)制數(shù)據(jù)。46(十進(jìn)制,2EH(十六進(jìn)制,00101110B(二進(jìn)制都是有效的數(shù)據(jù)格式.塊操作:對程序數(shù)據(jù)以塊的方式進(jìn)行操作.在窗口中按往左鍵拖動,可以指定所要操作的坎塊填充:將選中的塊內(nèi)數(shù)據(jù)值,填充為指定值.(圖塊移動:將選中的塊移動到指定地址.(圖讀文件:讀入二進(jìn)制代碼文件,插入的指定的地址內(nèi).(參見'調(diào)入目標(biāo)文件'功能寫文件:將程序數(shù)據(jù)指定地址的一段代碼寫入文件.(參見保存目標(biāo)文件'功能顯示為:

48、選擇不同的數(shù)據(jù)類型顯示數(shù)據(jù)內(nèi)容,可以是字節(jié)方式(BYTE,也可以是享方式(WORD,兩字節(jié),可以是長整型(LONGINT,四字節(jié)也可以是實(shí)數(shù)型(REAL,四字節(jié)。這里是選擇整個窗口的顯示方式.窗口|跟蹤窗口顯示跟蹤器捕捉到的程序執(zhí)行的軌跡,其中可以看到幀號,時標(biāo),反匯編程序,對應(yīng)的源程序和程序所在的文件名跟蹤器窗口時標(biāo)反匯編l.Ous DOBEH E508MOV % 08H2. Ous OOBSH DOHOP醺程序mova. 7Wr.-.nop; wit.24 Ous OOB9H 22 SETretATRAF.0123456" J i 一 _ - u ( - - h 1 M5. Oue0096H763FMOVRD, #3FH7. Ous0098H121施8DC ALL1068K9 Qus1866H06IBCEOOus186gHOEINC甌011. Ous186AHB60002CJNEGRO, #.13.Ous 13&FM 22 IS Ous 009EH 8CAE 17.Ous 004BH 2C094F ig.Ous 004EH 1200C8 20 Ous 00C6H 00 22 Oue 00C9H 22 24.O

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論