數(shù)控正弦函數(shù)信號(hào)發(fā)生器_第1頁(yè)
數(shù)控正弦函數(shù)信號(hào)發(fā)生器_第2頁(yè)
數(shù)控正弦函數(shù)信號(hào)發(fā)生器_第3頁(yè)
數(shù)控正弦函數(shù)信號(hào)發(fā)生器_第4頁(yè)
數(shù)控正弦函數(shù)信號(hào)發(fā)生器_第5頁(yè)
已閱讀5頁(yè),還剩56頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 電子電路課程設(shè)計(jì) 數(shù)控正弦函數(shù)發(fā)生器 題目二題目二 實(shí)用信號(hào)源的設(shè)計(jì)和制作實(shí)用信號(hào)源的設(shè)計(jì)和制作 一、任務(wù)一、任務(wù) 在給定在給定5V5V電源電壓條件下,設(shè)計(jì)并制作一個(gè)正弦波電源電壓條件下,設(shè)計(jì)并制作一個(gè)正弦波和脈沖波信號(hào)源。和脈沖波信號(hào)源。 二、要求二、要求 1 1基本要求基本要求 (1 1)正弦波信號(hào)源)正弦波信號(hào)源 信號(hào)頻率:信號(hào)頻率:1 10Hz0Hz1.251.25kHzkHz步進(jìn)調(diào)整,步長(zhǎng)為步進(jìn)調(diào)整,步長(zhǎng)為5Hz5Hz 頻率穩(wěn)定度:優(yōu)于頻率穩(wěn)定度:優(yōu)于1010-4-4 非線性失真系數(shù)非線性失真系數(shù)3%3% (2 2)脈沖波信號(hào)源)脈沖波信號(hào)源 信號(hào)頻率:信號(hào)頻率:1 10Hz0Hz

2、1.251.25kHzkHz步進(jìn)調(diào)整,步長(zhǎng)為步進(jìn)調(diào)整,步長(zhǎng)為5Hz5Hz 脈沖占空比:脈沖占空比:2%2%98%98%步進(jìn)可調(diào),步長(zhǎng)為步進(jìn)可調(diào),步長(zhǎng)為2%2% (3 3)三角波及鋸齒波)三角波及鋸齒波 信號(hào)頻率:信號(hào)頻率:1 10Hz0Hz1.251.25kHzkHz步進(jìn)調(diào)整,步長(zhǎng)為步進(jìn)調(diào)整,步長(zhǎng)為5Hz5Hz 2 2發(fā)揮部分發(fā)揮部分 (1 1)正弦波和脈沖波頻率步長(zhǎng)改為)正弦波和脈沖波頻率步長(zhǎng)改為1Hz1Hz。 (2 2)正弦波和脈沖波幅度可步進(jìn)調(diào)整,調(diào)整范圍)正弦波和脈沖波幅度可步進(jìn)調(diào)整,調(diào)整范圍為為100mV100mV3V3V,步長(zhǎng)為,步長(zhǎng)為100mV100mV。 (3 3)正弦波和脈沖

3、波頻率可自動(dòng)步進(jìn),步長(zhǎng)為)正弦波和脈沖波頻率可自動(dòng)步進(jìn),步長(zhǎng)為1Hz1Hz。 (4 4)降低正弦波非線性失真系數(shù)。)降低正弦波非線性失真系數(shù)。一、課程概況簡(jiǎn)介一、課程概況簡(jiǎn)介二二、課題介紹、課題介紹三、三、課題技術(shù)指標(biāo)課題技術(shù)指標(biāo)四四、設(shè)計(jì)提示設(shè)計(jì)提示五五、電路調(diào)測(cè)提示、電路調(diào)測(cè)提示主要授課內(nèi)容主要授課內(nèi)容: :一、課程概況簡(jiǎn)介一、課程概況簡(jiǎn)介課程名稱課程名稱: : 電子電路課程設(shè)計(jì)電子電路課程設(shè)計(jì) (3232學(xué)時(shí),兩周)學(xué)時(shí),兩周) 課程性質(zhì)課程性質(zhì): : 必修必修 (2 2學(xué)分)學(xué)分)教教 材材: :電子電路課程設(shè)計(jì)電子電路課程設(shè)計(jì)張?jiān)サ峋帍堅(jiān)サ峋幗虒W(xué)目的教學(xué)目的: :1.1.提高模擬電路

4、、數(shù)字電路理論和實(shí)驗(yàn)的綜合能提高模擬電路、數(shù)字電路理論和實(shí)驗(yàn)的綜合能力。力。2.2.掌握綜合型電子電路的設(shè)計(jì)、裝配和調(diào)測(cè)方法。掌握綜合型電子電路的設(shè)計(jì)、裝配和調(diào)測(cè)方法。3.3.掌握電子元器件資料和電路資料的檢索方法。掌握電子元器件資料和電路資料的檢索方法。4.4.提高設(shè)計(jì)報(bào)告的撰寫(xiě)能力。提高設(shè)計(jì)報(bào)告的撰寫(xiě)能力。5.5.全面培養(yǎng)學(xué)生科技工作素質(zhì)。全面培養(yǎng)學(xué)生科技工作素質(zhì)。教學(xué)進(jìn)程:教學(xué)進(jìn)程:1. 1. 設(shè)計(jì)要求和提示設(shè)計(jì)要求和提示(在實(shí)驗(yàn)室教師授課,半天)(在實(shí)驗(yàn)室教師授課,半天)查閱資料、設(shè)計(jì)電路查閱資料、設(shè)計(jì)電路(同學(xué)獨(dú)立完成,(同學(xué)獨(dú)立完成,1 1天半)天半)。2. 2. 講述裝配方法和調(diào)

5、測(cè)要求講述裝配方法和調(diào)測(cè)要求(2 2學(xué)時(shí))學(xué)時(shí))。3. 3. 調(diào)測(cè)調(diào)測(cè)(第一周星期三至第二周星期三)(第一周星期三至第二周星期三)。 4. 4. 驗(yàn)收驗(yàn)收(第一周星期三至第二周星期四)(第一周星期三至第二周星期四)。5. 5. 撰寫(xiě)報(bào)告撰寫(xiě)報(bào)告(第二周星期五)(第二周星期五)、講評(píng)、收尾。、講評(píng)、收尾。班 級(jí)內(nèi) 容教 學(xué) 進(jìn) 度 (2013年9月)星期一16日星期二17日星期三18日星期日22日(含晚上)星期一23日(含晚上)星期二24日(含晚上)星期三25日星期六28日(含晚上)B1110101 B110116,Q110101102(18個(gè)班)布置任務(wù)、講 解查 資 料 、設(shè)計(jì)講 解 裝 配

6、和 調(diào) 測(cè) 方法領(lǐng) 取 元 件裝 配 調(diào) 測(cè)調(diào)測(cè)/驗(yàn)收講評(píng)/報(bào)告寫(xiě) 作 方 法還料/打掃衛(wèi)生寫(xiě)報(bào)告2013/2014學(xué)年第一學(xué)期學(xué)年第一學(xué)期 2011級(jí)電子電路課程設(shè)計(jì)進(jìn)度表(第二批)級(jí)電子電路課程設(shè)計(jì)進(jìn)度表(第二批)實(shí)驗(yàn)時(shí)間為實(shí)驗(yàn)時(shí)間為8:0011:30,8:0011:30,下午下午13:4516:55;13:4516:55;晚上晚上17:3021:00.17:3021:00.教師每天點(diǎn)名教師每天點(diǎn)名; ;1616日下午和日下午和1717日全天為查資料時(shí)間日全天為查資料時(shí)間, ,可不可不在實(shí)驗(yàn)室在實(shí)驗(yàn)室, ,其他正課時(shí)間必須在實(shí)驗(yàn)室其他正課時(shí)間必須在實(shí)驗(yàn)室, ,未未經(jīng)請(qǐng)假不到實(shí)驗(yàn)室者經(jīng)請(qǐng)假不

7、到實(shí)驗(yàn)室者, ,按曠課論處按曠課論處, ,曠課超曠課超過(guò)過(guò)3 3天,將取消課程設(shè)計(jì)資格天,將取消課程設(shè)計(jì)資格.;.;課程設(shè)計(jì)期間學(xué)生請(qǐng)假離寧課程設(shè)計(jì)期間學(xué)生請(qǐng)假離寧, ,需由指導(dǎo)員需由指導(dǎo)員批準(zhǔn)批準(zhǔn), ,任課教師無(wú)權(quán)批準(zhǔn)任課教師無(wú)權(quán)批準(zhǔn); ;課程設(shè)計(jì)結(jié)束課程設(shè)計(jì)結(jié)束后后3 3天內(nèi)由各班學(xué)習(xí)委員將課程設(shè)計(jì)報(bào)告收天內(nèi)由各班學(xué)習(xí)委員將課程設(shè)計(jì)報(bào)告收齊,交指導(dǎo)教師。齊,交指導(dǎo)教師。 教學(xué)方法:教學(xué)方法:1. 1. 教法:教法: 在實(shí)驗(yàn)室集中,分在實(shí)驗(yàn)室集中,分3 3次講解。次講解。 * 電路設(shè)計(jì)提示。電路設(shè)計(jì)提示。 * 裝配要求、調(diào)測(cè)方法。裝配要求、調(diào)測(cè)方法。 * 實(shí)驗(yàn)報(bào)告撰寫(xiě)要求。實(shí)驗(yàn)報(bào)告撰寫(xiě)要求。

8、 輔導(dǎo)實(shí)驗(yàn)、最后逐一驗(yàn)收。輔導(dǎo)實(shí)驗(yàn)、最后逐一驗(yàn)收。2. 2. 學(xué)習(xí)方法:學(xué)習(xí)方法: *認(rèn)真自學(xué)認(rèn)真自學(xué)電子電路課程設(shè)計(jì)電子電路課程設(shè)計(jì)相關(guān)章節(jié)。相關(guān)章節(jié)。 * 獨(dú)立完成設(shè)計(jì)。獨(dú)立完成設(shè)計(jì)。 *獨(dú)立裝配、調(diào)測(cè)、撰寫(xiě)設(shè)計(jì)報(bào)告獨(dú)立裝配、調(diào)測(cè)、撰寫(xiě)設(shè)計(jì)報(bào)告 。課程紀(jì)律:課程紀(jì)律:1. 缺少實(shí)驗(yàn)達(dá)三分之一以上無(wú)成績(jī),必須重修。缺少實(shí)驗(yàn)達(dá)三分之一以上無(wú)成績(jī),必須重修。2. 設(shè)計(jì)報(bào)告必須手寫(xiě),不得用打印機(jī)打印。設(shè)計(jì)報(bào)告必須手寫(xiě),不得用打印機(jī)打印。3. 預(yù)習(xí)報(bào)告和設(shè)計(jì)報(bào)告抄襲他人者,報(bào)告成績(jī)預(yù)習(xí)報(bào)告和設(shè)計(jì)報(bào)告抄襲他人者,報(bào)告成績(jī)按按0 0分論處。分論處。4. 遲到、早退遲到、早退3 3次成績(jī)降檔。次成績(jī)降檔。

9、成績(jī)?cè)u(píng)定:成績(jī)?cè)u(píng)定:1. 評(píng)分項(xiàng)目:預(yù)習(xí)報(bào)告、裝配水平、調(diào)測(cè)水平、評(píng)分項(xiàng)目:預(yù)習(xí)報(bào)告、裝配水平、調(diào)測(cè)水平、完成指標(biāo)、報(bào)告。完成指標(biāo)、報(bào)告。 2. 成績(jī)分檔:優(yōu)秀、良好、中等、及格、不及成績(jī)分檔:優(yōu)秀、良好、中等、及格、不及格、不及格必須重修,沒(méi)有補(bǔ)考。格、不及格必須重修,沒(méi)有補(bǔ)考。二、二、 課題介紹課題介紹2.1 2.1 設(shè)計(jì)課題名稱設(shè)計(jì)課題名稱 數(shù)控正弦函數(shù)發(fā)生器數(shù)控正弦函數(shù)發(fā)生器2.2 2.2 課題簡(jiǎn)介課題簡(jiǎn)介 數(shù)字信號(hào)源是目前發(fā)展較快的領(lǐng)域,數(shù)字信號(hào)源是目前發(fā)展較快的領(lǐng)域, 用途很用途很廣。利用存儲(chǔ)器廣。利用存儲(chǔ)器EPROMEPROM里的正弦函數(shù)發(fā)生器。這種里的正弦函數(shù)發(fā)生器。這種由數(shù)字

10、合成的信號(hào)源較傳統(tǒng)的振蕩器有很多優(yōu)點(diǎn)。由數(shù)字合成的信號(hào)源較傳統(tǒng)的振蕩器有很多優(yōu)點(diǎn)。首先,它的輸出頻率可以方便的進(jìn)行控制,通過(guò)首先,它的輸出頻率可以方便的進(jìn)行控制,通過(guò)改變讀取信號(hào)的速度,也可以通過(guò)改變樣點(diǎn)的讀改變讀取信號(hào)的速度,也可以通過(guò)改變樣點(diǎn)的讀取,實(shí)現(xiàn)調(diào)頻,掃頻和數(shù)字控制。再者,這種信取,實(shí)現(xiàn)調(diào)頻,掃頻和數(shù)字控制。再者,這種信號(hào)源可以由計(jì)算機(jī)控制,組合成多種波形的函數(shù)號(hào)源可以由計(jì)算機(jī)控制,組合成多種波形的函數(shù)信號(hào)發(fā)生器,另外較傳統(tǒng)的模擬型便于集成化,信號(hào)發(fā)生器,另外較傳統(tǒng)的模擬型便于集成化,可靠性可以更高,頻率變化范圍更寬。可靠性可以更高,頻率變化范圍更寬。3.1 3.1 技術(shù)指標(biāo)技術(shù)指

11、標(biāo)(P128 (P128 課題十四課題十四) ) 1 1、整體功能要求、整體功能要求 數(shù)控函數(shù)發(fā)生器的功能是用數(shù)字電路產(chǎn)生正弦波、方波、數(shù)控函數(shù)發(fā)生器的功能是用數(shù)字電路產(chǎn)生正弦波、方波、三角波和鋸齒波信號(hào),輸出信號(hào)的頻率和電壓的幅度均由三角波和鋸齒波信號(hào),輸出信號(hào)的頻率和電壓的幅度均由數(shù)字式開(kāi)關(guān)控制,并用一個(gè)自復(fù)開(kāi)關(guān)進(jìn)行選擇數(shù)字式開(kāi)關(guān)控制,并用一個(gè)自復(fù)開(kāi)關(guān)進(jìn)行選擇4 4個(gè)發(fā)光二極個(gè)發(fā)光二極管,指示波形種類。管,指示波形種類。 事先對(duì)一個(gè)波形進(jìn)行取樣,把各個(gè)樣點(diǎn)的取樣值存入事先對(duì)一個(gè)波形進(jìn)行取樣,把各個(gè)樣點(diǎn)的取樣值存入存儲(chǔ)器構(gòu)成函數(shù)表(可以存入一個(gè)周期的完整信號(hào),也可存儲(chǔ)器構(gòu)成函數(shù)表(可以存入一

12、個(gè)周期的完整信號(hào),也可以存入半個(gè)周期或以存入半個(gè)周期或1/41/4個(gè)周期)。通過(guò)數(shù)字頻率控制電路對(duì)個(gè)周期)。通過(guò)數(shù)字頻率控制電路對(duì)函數(shù)表的讀取,再把讀取的取樣值取出還原成原始的波形函數(shù)表的讀取,再把讀取的取樣值取出還原成原始的波形信號(hào),這就構(gòu)成了信號(hào)發(fā)生器的基本設(shè)計(jì)原理。信號(hào),這就構(gòu)成了信號(hào)發(fā)生器的基本設(shè)計(jì)原理。三、課題技術(shù)指標(biāo)三、課題技術(shù)指標(biāo)2 2、系統(tǒng)結(jié)構(gòu)要求、系統(tǒng)結(jié)構(gòu)要求 數(shù)控信號(hào)發(fā)生器的結(jié)構(gòu)如圖數(shù)控信號(hào)發(fā)生器的結(jié)構(gòu)如圖1 1所示,其中波形發(fā)生器所示,其中波形發(fā)生器采用數(shù)字電路產(chǎn)生正弦波、方波、三角波和鋸齒波信號(hào),采用數(shù)字電路產(chǎn)生正弦波、方波、三角波和鋸齒波信號(hào),頻率選擇開(kāi)關(guān)用于選擇輸出

13、信號(hào)的頻率,幅度選擇開(kāi)關(guān)用頻率選擇開(kāi)關(guān)用于選擇輸出信號(hào)的頻率,幅度選擇開(kāi)關(guān)用于選擇輸出信號(hào)電壓幅度,頻率選擇開(kāi)關(guān)和幅度選擇開(kāi)關(guān)于選擇輸出信號(hào)電壓幅度,頻率選擇開(kāi)關(guān)和幅度選擇開(kāi)關(guān)均應(yīng)采用數(shù)字電路,自復(fù)開(kāi)關(guān)用于進(jìn)行選擇均應(yīng)采用數(shù)字電路,自復(fù)開(kāi)關(guān)用于進(jìn)行選擇4 4個(gè)發(fā)光二極管個(gè)發(fā)光二極管并指示波形種類。并指示波形種類。 通過(guò)頻率控制開(kāi)關(guān)改變頻率控制電路的輸出頻率,由此通過(guò)頻率控制開(kāi)關(guān)改變頻率控制電路的輸出頻率,由此改變計(jì)數(shù)器(地址發(fā)生器)的循環(huán)計(jì)數(shù)速度,進(jìn)而改變從改變計(jì)數(shù)器(地址發(fā)生器)的循環(huán)計(jì)數(shù)速度,進(jìn)而改變從存儲(chǔ)器取出的速度,經(jīng)存儲(chǔ)器取出的速度,經(jīng)D/AD/A變換后輸出正弦波信號(hào),再由幅變換后輸

14、出正弦波信號(hào),再由幅度控制開(kāi)關(guān)控制輸出信號(hào)的電壓幅度,自復(fù)開(kāi)關(guān)用于進(jìn)行度控制開(kāi)關(guān)控制輸出信號(hào)的電壓幅度,自復(fù)開(kāi)關(guān)用于進(jìn)行控制選擇控制選擇4 4個(gè)發(fā)光二極管并指示其對(duì)應(yīng)的個(gè)發(fā)光二極管并指示其對(duì)應(yīng)的4 4種波形。種波形。3 3、電氣指標(biāo)、電氣指標(biāo) 輸出信號(hào)波形:正弦波、鋸齒波、三輸出信號(hào)波形:正弦波、鋸齒波、三角波和方波角波和方波 。 輸出信號(hào)頻率范圍:輸出信號(hào)頻率范圍:10Hz10Hz1.25KHz1.25KHz 輸出信號(hào)最大電壓:輸出信號(hào)最大電壓:5V5V(峰峰值)。(峰峰值)。 輸出頻率最小步長(zhǎng):輸出頻率最小步長(zhǎng):5Hz5Hz。 幅度選擇檔位:幅度選擇檔位:6464檔。檔。4 4、設(shè)計(jì)條件、

15、設(shè)計(jì)條件 電源條件:電源條件:5V5V。 5 5、元件清單、元件清單 其他阻、容元件自選。其他阻、容元件自選。1DAC083228位位D/A2MC40461鎖相電路328C46B1EEPROM存儲(chǔ)器4CD4040112位二進(jìn)制計(jì)數(shù)器5CD4060114位二進(jìn)制分頻/振蕩器6TL0841運(yùn)算放大器7CD402924 位二進(jìn)制8CD45181雙BCD同步加計(jì)數(shù)器98路開(kāi)關(guān)2雙制直插式微型開(kāi)關(guān)10RT電阻710K電阻11CL電容210pF滌綸電容123.2768M晶振113CL電容2100pF滌綸電容四、系統(tǒng)設(shè)計(jì) 1 1、工作原理、工作原理 基準(zhǔn)頻率:基準(zhǔn)頻率:時(shí)基電路以時(shí)基電路以.2768MHz.

16、2768MHz的晶振分的晶振分頻產(chǎn)生頻產(chǎn)生1212. .8Khz8Khz頻率,由頻率,由1212. .8 8KhzKhz十分頻產(chǎn)生十分頻產(chǎn)生1.28Khz1.28Khz頻率,再經(jīng)過(guò)頻率,再經(jīng)過(guò)256256個(gè)地址計(jì)數(shù)器的分頻個(gè)地址計(jì)數(shù)器的分頻得到得到5Hz5Hz頻率。頻率。是否有其他方法,請(qǐng)同學(xué)們自己是否有其他方法,請(qǐng)同學(xué)們自己在設(shè)計(jì)時(shí)可以考慮下。在設(shè)計(jì)時(shí)可以考慮下。 地址計(jì)數(shù)器產(chǎn)生地址計(jì)數(shù)器產(chǎn)生256256個(gè)地址依次從存儲(chǔ)器中取個(gè)地址依次從存儲(chǔ)器中取出正弦信號(hào)的樣值。該樣值經(jīng)出正弦信號(hào)的樣值。該樣值經(jīng)D/AD/A()變換,)變換,輸出一正弦波。幅度開(kāi)關(guān)控制衰減電路使幅度輸出一正弦波。幅度開(kāi)關(guān)控

17、制衰減電路使幅度變化。放大電路可滿足輸出信號(hào)的幅度及輸出變化。放大電路可滿足輸出信號(hào)的幅度及輸出阻抗的要求。阻抗的要求?;鶞?zhǔn)電路基準(zhǔn)電路D/AIID/AII256256分頻分頻鎖相環(huán)電路鎖相環(huán)電路正弦函數(shù)表正弦函數(shù)表D/A ID/A I輸出輸出幅度開(kāi)關(guān)幅度開(kāi)關(guān)可變可變256256分頻分頻2 2、頻率控制電路、頻率控制電路 頻率控制電路含時(shí)基電路,頻率開(kāi)關(guān)和頻率控制電路含時(shí)基電路,頻率開(kāi)關(guān)和PLLPLL壓控振蕩器,如圖壓控振蕩器,如圖3 3所示。若輸出正弦信號(hào)所示。若輸出正弦信號(hào)頻率要求為頻率要求為10Hz10Hz1.25KHz1.25KHz,則模,則模256256計(jì)數(shù)器輸計(jì)數(shù)器輸入時(shí)鐘信號(hào)的頻

18、率范圍為入時(shí)鐘信號(hào)的頻率范圍為2.56KHz 2.56KHz 320KHz320KHz,即:第一部分電路產(chǎn)生的方波頻率范圍的下限即:第一部分電路產(chǎn)生的方波頻率范圍的下限應(yīng)小于應(yīng)小于2.56KHz2.56KHz,上限應(yīng)為,上限應(yīng)為320KHz320KHz。就是。就是PLLPLL鎖鎖相環(huán)要輸出上述頻率。相環(huán)要輸出上述頻率。2.12.1時(shí)基電路的設(shè)計(jì)時(shí)基電路的設(shè)計(jì) 首先選擇首先選擇40604060振蕩及分頻芯片加上振蕩及分頻芯片加上3.2768MHz3.2768MHz晶體經(jīng)過(guò)晶體經(jīng)過(guò)8 8位分頻產(chǎn)生位分頻產(chǎn)生12.8KHz12.8KHz方方波信號(hào),再波信號(hào),再1010分頻產(chǎn)生分頻產(chǎn)生1.28KHz1

19、.28KHz給給PLL(PLL(鎖相鎖相環(huán)環(huán)) )作為基準(zhǔn)時(shí)鐘。利用作為基準(zhǔn)時(shí)鐘。利用PLLPLL倍頻功能倍頻功能產(chǎn)生產(chǎn)生2.56KHz2.56KHz至至320KHz320KHz頻率方波。(頻率方波。(N=2-255)N=2-255)時(shí)基電路2.2 鎖相環(huán)CD40461,2.3 PLL(鎖相環(huán)CD4046)倍頻電路3 3、地址計(jì)數(shù)器的設(shè)計(jì)、地址計(jì)數(shù)器的設(shè)計(jì)M=256M=256計(jì)數(shù)器,可由計(jì)數(shù)器,可由CD4029CD4029實(shí)現(xiàn),電路如圖所示實(shí)現(xiàn),電路如圖所示4 4、存儲(chǔ)器及正弦函數(shù)表、存儲(chǔ)器及正弦函數(shù)表 (1 1)28C6428C64芯片的管腳圖芯片的管腳圖(2 2)正弦函數(shù)表的算法設(shè)計(jì):)正

20、弦函數(shù)表的算法設(shè)計(jì): 用用C C語(yǔ)言進(jìn)行如下編程:語(yǔ)言進(jìn)行如下編程: #include#include #include #include #define pi 3.1415926 #define pi 3.1415926 void main() void main() int n,I; int n,I; float m; float m; for(n=0;n256;n+) for(n=0;n=0.5) if (m-1=0.5) print( print(“5x5x”,i+1);,i+1); else else print ( print (“5x5x”,i);,i); (3 3)輸出數(shù)據(jù)作為

21、)輸出數(shù)據(jù)作為EEPROMEEPROM里面輸入的正弦函數(shù)表:里面輸入的正弦函數(shù)表:(3 3)三角波函數(shù)表的算法設(shè)計(jì):)三角波函數(shù)表的算法設(shè)計(jì): 用用C C語(yǔ)言進(jìn)行如下編程:語(yǔ)言進(jìn)行如下編程: #include#include void main() void main() int i; int i; int rom_triangle256; int rom_triangle256; int hex2562; int hex2562; for(i=0;i128;i+) for(i=0;i128;i+) rom_trianglei=2 rom_trianglei=2* *i;i; hexi+128

22、1= rom_trianglei/16; hexi+1281= rom_trianglei/16; hexi+1280= rom_trianglei-16hexi+1280= rom_trianglei-16* *hexi1;hexi1; for(i=0;i128;i+) for(i=0;i128;i+) rom_trianglei+128=254-2 rom_trianglei+128=254-2* *i;i; hexi+1281= rom_trianglei+128/16; hexi+1281= rom_trianglei+128/16; hexi+1280= rom_trianglei+

23、128-16 hexi+1280= rom_trianglei+128-16* *hexi+1281;hexi+1281; for(i=0;i256;i+)for(i=0;i256;i+) swithch(hexi1) swithch(hexi1) case 0:printf(case 0:printf(“0 0”);break;);break; case 1:printf( case 1:printf(“1 1”);break;);break; case 2:printf( case 2:printf(“2 2”);break;);break; case 3:printf( case 3:p

24、rintf(“3 3”);break;);break; case 4:printf( case 4:printf(“4 4”);break;);break; case 5:printf( case 5:printf(“5 5”);break;);break; case 6:printf( case 6:printf(“6 6”);break;);break; case 7:printf( case 7:printf(“7 7”);break;);break; case 8:printf( case 8:printf(“8 8”);break;);break; case 9:printf( ca

25、se 9:printf(“9 9”);break;);break; case 10:printf( case 10:printf(“A A”);break;);break; case 11:printf( case 11:printf(“B B”);break;);break; case 12:printf( case 12:printf(“C C”);break;);break; case 13:printf( case 13:printf(“D D”);break;);break; case 14:printf( case 14:printf(“E E”);break;);break; c

26、ase 15:printf( case 15:printf(“F F”);break;);break; switch(hexi0) switch(hexi0) case 0:printf( case 0:printf(“0 0”);break;);break; case 1:printf( case 1:printf(“1 1”);break;);break; case 2:printf( case 2:printf(“2 2”);break;);break; case 3:printf( case 3:printf(“3 3”);break;);break; case 4:printf( c

27、ase 4:printf(“4 4”);break;);break; case 5:printf( case 5:printf(“5 5”);break;);break; case 6:printf( case 6:printf(“6 6”);break;);break; case 7:printf( case 7:printf(“7 7”);break;);break; case 8:printf( case 8:printf(“8 8”);break;);break; case 9:printf( case 9:printf(“9 9”);break;);break; case 10:pr

28、intf( case 10:printf(“A A”);break;);break; case 11:printf( case 11:printf(“B B”);break;);break; case 12:printf( case 12:printf(“C C”);break;);break; case 13:printf( case 13:printf(“D D”);break;);break; case 14:printf( case 14:printf(“E E”);break;);break; case 15:printf( case 15:printf(“F F”);break;)

29、;break; printf( printf(“ ”);); if(i+1) if(i+1) 16=0)16=0) printf( printf(“nn”);); (4 4)鋸齒波函數(shù)表的算法設(shè)計(jì):)鋸齒波函數(shù)表的算法設(shè)計(jì): 用用C C語(yǔ)言進(jìn)行如下編程:語(yǔ)言進(jìn)行如下編程: #include#include void main() void main() int i; int i; int rom_sawtooth256,i; int rom_sawtooth256,i; int hex2562; int hex2562; for(i=0;i256;i+) for(i=0;i256;i+) ro

30、m_sawtoothi=i; rom_sawtoothi=i; hexi1= rom_sawtoothi/16; hexi1= rom_sawtoothi/16; hexi0= rom_sawtoothi-16hexi0= rom_sawtoothi-16* *hexi1;hexi1; for(i=0;i256;i+)for(i=0;i256;i+) swith(hexi1) swith(hexi1) case 0:printf( case 0:printf(“0 0”);break;);break; case 1:printf( case 1:printf(“1 1”);break;);br

31、eak; case 2:printf( case 2:printf(“2 2”);break;);break; case 3:printf( case 3:printf(“3 3”);break;);break; case 4:printf(case 4:printf(“4 4”);break;);break; case 5:printf( case 5:printf(“5 5”);break;);break; case 6:printf( case 6:printf(“6 6”);break;);break; case 7:printf( case 7:printf(“7 7”);break

32、;);break; case 8:printf( case 8:printf(“8 8”);break;);break; case 9:printf( case 9:printf(“9 9”);break;);break; case 10:printf( case 10:printf(“A A”);break;);break; case 11:printf( case 11:printf(“B B”);break;);break; case 12:printf(case 12:printf(“C C”);break;);break; case 13:printf( case 13:printf

33、(“D D”);break;);break; case 14:printf( case 14:printf(“E E”);break;);break; case 15:printf( case 15:printf(“F F”);break;);break; switch(hexi0)switch(hexi0) case 0:printf( case 0:printf(“0 0”);break;);break; case 1:printf( case 1:printf(“1 1”);break;);break; case 2:printf( case 2:printf(“2 2”);break;

34、);break; case 3:printf( case 3:printf(“3 3”);break;);break; case 4:printf( case 4:printf(“4 4”);break;);break; case 5:printf( case 5:printf(“5 5”);break;);break; case 6:printf( case 6:printf(“6 6”);break;);break; case 7:printf( case 7:printf(“7 7”);break;);break; case 8:printf( case 8:printf(“8 8”);

35、break;);break; case 9:printf( case 9:printf(“9 9”);break;);break; case 10:printf( case 10:printf(“A A”);break;);break; case 11:printf( case 11:printf(“B B”);break; );break; case 12:printf(case 12:printf(“C C”);break;);break; case 13:printf( case 13:printf(“D D”);break;);break; case 14:printf( case 1

36、4:printf(“E E”);break;);break; case 15:printf( case 15:printf(“F F”);break;);break; printf(printf(“ ”);); if(i+1) if(i+1)16=0)16=0) printf( printf(“nn”);); (4 4)方波函數(shù)表的算法設(shè)計(jì):)方波函數(shù)表的算法設(shè)計(jì): 用用C C語(yǔ)言進(jìn)行如下編程:語(yǔ)言進(jìn)行如下編程: #include#include void main() void main() int i; int i; int rom_square256,i; int rom_square2

37、56,i; int hex2562; int hex2562; for(i=0;i128;i+) for(i=0;i128;i+) rom_squarei=255; rom_squarei=255; hexi1= rom_squarei/16; hexi1= rom_squarei/16; hexi0= rom_squarei-16hexi0= rom_squarei-16* *hexi1;hexi1; for(i=0;i256;i+)for(i=0;i256;i+) rom_squarei+128=0; rom_squarei+128=0; hexi+1281=rom_squarei+128

38、/16; hexi+1281=rom_squarei+128/16; hexi+1280=rom_squarei+1281; hexi+1280=rom_squarei+1281; for(i=0;i256;i+) for(i=0;i256;i+) switch(hexi1) switch(hexi1) case 0:printf( case 0:printf(“0 0”);break;);break; case 1:printf( case 1:printf(“1 1”);break;);break; case 2:printf( case 2:printf(“2 2”);break;);b

39、reak; case 3:printf( case 3:printf(“3 3”);break;);break; case 4:printf( case 4:printf(“4 4”);break;);break; case 5:printf( case 5:printf(“5 5”);break;);break; case 6:printf( case 6:printf(“6 6”);break;);break; case 7:printf(case 7:printf(“7 7”);break;);break; case 8:printf( case 8:printf(“8 8”);brea

40、k;);break; case 9:printf( case 9:printf(“9 9”);break;);break; case A:printf( case A:printf(“A A”);break;);break; case B:printf( case B:printf(“B B”);break;);break; case C:printf( case C:printf(“C C”);break;);break; case D:printf( case D:printf(“D D”);break;);break; case E:printf( case E:printf(“E E”

41、);break;);break; case F:printf( case F:printf(“F F”);break;);break; switch(hexi0)switch(hexi0) case 0:printf( case 0:printf(“0 0”);break;);break; case 1:printf( case 1:printf(“1 1”);break;);break; case 2:printf( case 2:printf(“2 2”);break;);break; case 3:printf( case 3:printf(“3 3”);break;);break; c

42、ase 4:printf( case 4:printf(“4 4”);break;);break; case 5:printf( case 5:printf(“5 5”);break;);break; case 6:printf( case 6:printf(“6 6”);break;);break; case 7:printf(case 7:printf(“7 7”);break;);break; case 8:printf( case 8:printf(“8 8”);break;);break; case 9:printf( case 9:printf(“9 9”);break;);bre

43、ak; case 10:printf( case 10:printf(“A A”);break;);break; case 11:printf( case 11:printf(“B B”);break;);break; case 12:printf( case 12:printf(“C C”);break;);break; case 13:printf( case 13:printf(“D D”);break;);break; case 14:printf( case 14:printf(“E E”);break;);break; case 15:printf( case 15:printf(

44、“F F”);break;);break; printf( printf(“ ”);); If(i+1) If(i+1)16=0) 16=0) printf( printf(“nn”);); 5 5、D/A(I)D/A(I)電路電路 6、數(shù)字幅度控制電路由D/A電路組成。信號(hào)從Vref輸入,利用DAC0832內(nèi)部的R-2R電阻網(wǎng)絡(luò)構(gòu)成衰減器,實(shí)現(xiàn)一個(gè)程控放大器。電路如下7 7、采用、采用D/AD/A轉(zhuǎn)換器的信號(hào)發(fā)生器電路轉(zhuǎn)換器的信號(hào)發(fā)生器電路 采用采用D/AD/A信號(hào)轉(zhuǎn)換器產(chǎn)生鋸齒波的電路如下圖信號(hào)轉(zhuǎn)換器產(chǎn)生鋸齒波的電路如下圖所示,計(jì)數(shù)器從所示,計(jì)數(shù)器從D D開(kāi)始每計(jì)數(shù)一個(gè)值,就輸出開(kāi)始每計(jì)數(shù)

45、一個(gè)值,就輸出1 1步步大小的模擬電壓。當(dāng)計(jì)數(shù)器超過(guò)最大值就返回到大小的模擬電壓。當(dāng)計(jì)數(shù)器超過(guò)最大值就返回到0 0,模擬電壓也返回到,模擬電壓也返回到0 0,電壓再開(kāi)始慢慢地增加,電壓再開(kāi)始慢慢地增加,這樣就可獲得高精度的鋸齒波。這樣就可獲得高精度的鋸齒波。 在圖所示電路中,如果把計(jì)數(shù)器改為加減計(jì)數(shù)在圖所示電路中,如果把計(jì)數(shù)器改為加減計(jì)數(shù)器,計(jì)數(shù)值從器,計(jì)數(shù)值從0 0達(dá)到最大值以后,轉(zhuǎn)換為減計(jì)數(shù)器,達(dá)到最大值以后,轉(zhuǎn)換為減計(jì)數(shù)器,就可獲得三角波。另外,如果把數(shù)字信號(hào)存入就可獲得三角波。另外,如果把數(shù)字信號(hào)存入PROMPROM里,順序讀出,經(jīng)過(guò)里,順序讀出,經(jīng)過(guò)D/AD/A轉(zhuǎn)換,就可獲得任意形狀

46、轉(zhuǎn)換,就可獲得任意形狀波形。波形。 采用采用D/AD/A信號(hào)轉(zhuǎn)換器產(chǎn)生鋸齒波發(fā)生器信號(hào)轉(zhuǎn)換器產(chǎn)生鋸齒波發(fā)生器8 8、DAC0832DAC0832芯片芯片 DACDAC轉(zhuǎn)換方式有很多種,其中在單片集成轉(zhuǎn)換方式有很多種,其中在單片集成D/AD/A轉(zhuǎn)換器中,一般采用的多是轉(zhuǎn)換器中,一般采用的多是R-2RR-2R的倒的倒T T型電阻解型電阻解碼網(wǎng)絡(luò)。碼網(wǎng)絡(luò)。 DAC0832DAC0832芯片是芯片是CMOSCMOS雙列直插式單片雙列直插式單片8 8位位D/AD/A轉(zhuǎn)換轉(zhuǎn)換組件,它專用于直接與微處理器組件,它專用于直接與微處理器Intel8080Intel8080,Z80Z80,80488048等接口,

47、其內(nèi)部結(jié)構(gòu)如圖所示。其芯片內(nèi)部有等接口,其內(nèi)部結(jié)構(gòu)如圖所示。其芯片內(nèi)部有R-2RR-2R的倒的倒T T型網(wǎng)絡(luò),用來(lái)對(duì)標(biāo)準(zhǔn)參考電流進(jìn)行分流,型網(wǎng)絡(luò),用來(lái)對(duì)標(biāo)準(zhǔn)參考電流進(jìn)行分流,完成完成D/AD/A轉(zhuǎn)換,它的輸出電流轉(zhuǎn)換,它的輸出電流I I0 0正比于輸出的數(shù)字正比于輸出的數(shù)字量。量。 DAC0832DAC0832芯片內(nèi)部結(jié)構(gòu)框圖芯片內(nèi)部結(jié)構(gòu)框圖四、預(yù)習(xí)要求四、預(yù)習(xí)要求1 1、認(rèn)真閱讀教材、認(rèn)真閱讀教材 要求認(rèn)真閱讀要求認(rèn)真閱讀電子電路課程設(shè)計(jì)電子電路課程設(shè)計(jì)第一、二、第一、二、三章三章2 2、 查閱資料查閱資料 查找設(shè)計(jì)提示中要求的各種參考資料并認(rèn)真學(xué)查找設(shè)計(jì)提示中要求的各種參考資料并認(rèn)真學(xué)習(xí)。習(xí)。(1 1)按照)按照電工電子基礎(chǔ)實(shí)驗(yàn)電工電子基礎(chǔ)實(shí)驗(yàn)“4.24.2節(jié)電氣制圖節(jié)電氣制圖基本知識(shí)基本知識(shí)”中的要求,繪制電路草圖。中的要求,繪制電路草圖。(2

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論