數(shù)字電子技術(shù)基礎(chǔ)第三版觸發(fā)器(FLC)_第1頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)第三版觸發(fā)器(FLC)_第2頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)第三版觸發(fā)器(FLC)_第3頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)第三版觸發(fā)器(FLC)_第4頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)第三版觸發(fā)器(FLC)_第5頁(yè)
已閱讀5頁(yè),還剩76頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、概述概述第第 4 章章 觸發(fā)器觸發(fā)器 基本基本觸發(fā)器觸發(fā)器同步同步觸發(fā)器觸發(fā)器 邊沿邊沿觸發(fā)器觸發(fā)器本章小結(jié)本章小結(jié)觸發(fā)器觸發(fā)器的電氣特性的電氣特性主要要求:主要要求:了解觸發(fā)器的基本特性和作用。了解觸發(fā)器的基本特性和作用。了解觸發(fā)器的類型和邏輯功能的描述方法。了解觸發(fā)器的類型和邏輯功能的描述方法。概述概述一、一、觸發(fā)器觸發(fā)器的基本特性和作用的基本特性和作用 Flip - Flop,簡(jiǎn)寫為,簡(jiǎn)寫為 FF,又稱雙穩(wěn)態(tài)觸發(fā)器。用來(lái)存,又稱雙穩(wěn)態(tài)觸發(fā)器。用來(lái)存放二進(jìn)制數(shù)字信號(hào)和兩狀態(tài)邏輯信號(hào)的單元電路。放二進(jìn)制數(shù)字信號(hào)和兩狀態(tài)邏輯信號(hào)的單元電路?;咎匦曰咎匦?( (1) )有兩個(gè)穩(wěn)定狀態(tài)有兩個(gè)穩(wěn)

2、定狀態(tài)( (簡(jiǎn)稱穩(wěn)態(tài)簡(jiǎn)稱穩(wěn)態(tài)) ),正好用來(lái)表示邏輯正好用來(lái)表示邏輯 0 和和 1。( (2) )在輸入信號(hào)作用下,觸發(fā)器的兩個(gè)穩(wěn)定狀態(tài)可相互轉(zhuǎn)換在輸入信號(hào)作用下,觸發(fā)器的兩個(gè)穩(wěn)定狀態(tài)可相互轉(zhuǎn)換 ( (稱為狀態(tài)的翻轉(zhuǎn)稱為狀態(tài)的翻轉(zhuǎn)) )。輸入信號(hào)消失后,新?tīng)顟B(tài)可長(zhǎng)期輸入信號(hào)消失后,新?tīng)顟B(tài)可長(zhǎng)期 保持下來(lái),因此具有記憶功能,可存儲(chǔ)二進(jìn)制信息。保持下來(lái),因此具有記憶功能,可存儲(chǔ)二進(jìn)制信息。 一個(gè)觸發(fā)器可存儲(chǔ)一個(gè)觸發(fā)器可存儲(chǔ) 1 位二進(jìn)制數(shù)碼位二進(jìn)制數(shù)碼觸發(fā)器的作用觸發(fā)器的作用觸發(fā)器有記憶功能,觸發(fā)器有記憶功能,由它構(gòu)成的電路在某時(shí)刻的輸由它構(gòu)成的電路在某時(shí)刻的輸出不僅取決于該時(shí)刻的輸入,還與電路原

3、來(lái)狀態(tài)有關(guān)。出不僅取決于該時(shí)刻的輸入,還與電路原來(lái)狀態(tài)有關(guān)。而而組合邏輯電路組合邏輯電路無(wú)記憶功能,由它構(gòu)成的電路在某時(shí)刻無(wú)記憶功能,由它構(gòu)成的電路在某時(shí)刻的輸出完全取決于該時(shí)刻的輸入,與電路原來(lái)狀態(tài)無(wú)關(guān);的輸出完全取決于該時(shí)刻的輸入,與電路原來(lái)狀態(tài)無(wú)關(guān);觸發(fā)器和門電路觸發(fā)器和門電路是構(gòu)成數(shù)字電路的基本單元。是構(gòu)成數(shù)字電路的基本單元。二、觸發(fā)器的類型二、觸發(fā)器的類型 根據(jù)邏輯功能不同分為根據(jù)邏輯功能不同分為 RS 觸發(fā)器觸發(fā)器 D 觸發(fā)器觸發(fā)器 JK 觸發(fā)器觸發(fā)器 T 觸發(fā)器觸發(fā)器 T 觸發(fā)器觸發(fā)器 根據(jù)觸發(fā)方式不同分為根據(jù)觸發(fā)方式不同分為 電平觸發(fā)器電平觸發(fā)器 邊沿觸發(fā)器邊沿觸發(fā)器 主從觸發(fā)

4、器主從觸發(fā)器 根據(jù)電路結(jié)構(gòu)不同分為根據(jù)電路結(jié)構(gòu)不同分為 基本基本 RS 觸發(fā)器觸發(fā)器 同步觸發(fā)器同步觸發(fā)器 主從觸發(fā)器主從觸發(fā)器 邊沿觸發(fā)器邊沿觸發(fā)器 三、觸發(fā)器邏輯功能的描述方法三、觸發(fā)器邏輯功能的描述方法 主要有特性表、特性方程、狀態(tài)轉(zhuǎn)換圖和波形主要有特性表、特性方程、狀態(tài)轉(zhuǎn)換圖和波形圖圖 ( (又稱時(shí)序圖又稱時(shí)序圖) )、驅(qū)動(dòng)表等。、驅(qū)動(dòng)表等。主要要求:主要要求:掌握與非門結(jié)構(gòu)掌握與非門結(jié)構(gòu)基本基本 RS 觸發(fā)器觸發(fā)器的電路、邏輯的電路、邏輯功能和工作特點(diǎn)。功能和工作特點(diǎn)。 4.1基本觸發(fā)器基本觸發(fā)器掌握觸發(fā)器的掌握觸發(fā)器的 0 態(tài)、態(tài)、1 態(tài)、置態(tài)、置 0、置、置 1、觸發(fā)方、觸發(fā)方式

5、、現(xiàn)態(tài)、次態(tài)式、現(xiàn)態(tài)、次態(tài)等概念。等概念。掌握觸發(fā)器邏輯功能的描述方法。掌握觸發(fā)器邏輯功能的描述方法。 掌握基本掌握基本 RS 觸發(fā)器的邏輯功能及其特性方程。觸發(fā)器的邏輯功能及其特性方程。 ( (一一) )由與非門組成的基本由與非門組成的基本 RS 觸發(fā)器觸發(fā)器 1. 電路結(jié)構(gòu)及邏輯符號(hào)電路結(jié)構(gòu)及邏輯符號(hào)QQSDRDG1G2QQSDRDSRSDRDQQQ = 1,Q = 0 時(shí),稱為觸發(fā)器的時(shí),稱為觸發(fā)器的 1 狀態(tài),記為狀態(tài),記為 Q = 1;Q = 0,Q = 1 時(shí),稱為觸發(fā)器的時(shí),稱為觸發(fā)器的 0 狀態(tài),記為狀態(tài),記為 Q = 0。 RDSD置置0端,也端,也稱復(fù)位端。稱復(fù)位端。 R

6、即即 Reset 置置1端,也端,也稱置位端。稱置位端。 S 即即 Set 信號(hào)輸入端信號(hào)輸入端互補(bǔ)輸出端,互補(bǔ)輸出端,正常工作時(shí),正常工作時(shí),它們的輸出它們的輸出狀態(tài)相反。狀態(tài)相反。 低電平有效低電平有效 工作原理工作原理QQSDRDG1G211011000SDRD 功功 能能 說(shuō)說(shuō) 明明輸輸 入入QQ輸輸 出出2. 工作原理及邏輯功能工作原理及邏輯功能 0111 10觸發(fā)器被置觸發(fā)器被置 0 觸發(fā)器置觸發(fā)器置 0102. 工作原理及邏輯功能工作原理及邏輯功能 QQSDRDG1G211011000SDRD功功 能能 說(shuō)說(shuō) 明明輸輸 入入QQ輸輸 出出1001 11觸發(fā)器被置觸發(fā)器被置 1 觸

7、發(fā)器置觸發(fā)器置 010 觸發(fā)器置觸發(fā)器置 1012. 工作原理及邏輯功能工作原理及邏輯功能 QQSDRDG1G211011000SDRD 功功 能能 說(shuō)說(shuō) 明明輸輸 入入QQ輸輸 出出11 觸發(fā)器置觸發(fā)器置 010 觸發(fā)器置觸發(fā)器置 101 觸發(fā)器保持原狀態(tài)不變觸發(fā)器保持原狀態(tài)不變不不 變變&G1 門輸出門輸出QSQ DQQ 1G2 門輸出門輸出QRQ DQQ 12. 工作原理及邏輯功能工作原理及邏輯功能 QQSDRDG1G2 輸出狀態(tài)輸出狀態(tài)不定不定( (禁用禁用) )不不 定定11011000SDRD功功 能能 說(shuō)說(shuō) 明明輸輸 入入QQ輸輸 出出 觸發(fā)器置觸發(fā)器置 010 觸發(fā)器置觸發(fā)器置

8、 101 觸發(fā)器保持原狀態(tài)不變觸發(fā)器保持原狀態(tài)不變不不 變變0011輸出既非輸出既非 0 狀態(tài),狀態(tài),也非也非 1 狀態(tài)。當(dāng)狀態(tài)。當(dāng) RD 和和 SD 同時(shí)由同時(shí)由 0 變變 1 時(shí),時(shí),輸出狀態(tài)可能為輸出狀態(tài)可能為 0,也,也可能為可能為 1,即輸出狀態(tài),即輸出狀態(tài)不定。因此,這種情況不定。因此,這種情況禁用。禁用。特性表特性表3. 邏輯功能的邏輯功能的特性表特性表描述描述 次態(tài)次態(tài) 現(xiàn)態(tài)現(xiàn)態(tài) 指觸發(fā)器在輸入信號(hào)變化前的狀態(tài),用指觸發(fā)器在輸入信號(hào)變化前的狀態(tài),用 Qn 表示。表示。 指觸發(fā)器在輸入信號(hào)變化后的狀態(tài),用指觸發(fā)器在輸入信號(hào)變化后的狀態(tài),用 Qn+1 表示。表示。 描述觸發(fā)器次態(tài)與

9、輸入信號(hào)和電路現(xiàn)態(tài)之間關(guān)描述觸發(fā)器次態(tài)與輸入信號(hào)和電路現(xiàn)態(tài)之間關(guān)系的真值表。系的真值表。00001觸發(fā)器觸發(fā)器狀態(tài)不定狀態(tài)不定01010100觸發(fā)器觸發(fā)器置置 000101101觸發(fā)器觸發(fā)器置置 1111110011觸發(fā)器觸發(fā)器保持原狀態(tài)不變保持原狀態(tài)不變說(shuō)說(shuō) 明明Qn+1QnSDRD基本基本 RS 觸發(fā)器特觸發(fā)器特性表的簡(jiǎn)化表示性表的簡(jiǎn)化表示Qn11101010不定不定00Qn+1SDRD與非門組成的基本與非門組成的基本 RS 觸發(fā)器特性表觸發(fā)器特性表 置置 0 端端 RD 和置和置 1 端端 SD 低電平有效。低電平有效。禁用禁用 RD = SD = 0。稱約束條件稱約束條件 注意注意次態(tài)

10、次態(tài)Qn+1的卡諾圖的卡諾圖特性方程特性方程觸發(fā)器的特性方程就是觸發(fā)器次態(tài)觸發(fā)器的特性方程就是觸發(fā)器次態(tài)Qn+1與輸入及現(xiàn)態(tài)與輸入及現(xiàn)態(tài)Qn之間的邏輯關(guān)系式之間的邏輯關(guān)系式 Qn0001111000011011RS 約束條件01RSQRSQnnSRQn狀態(tài)圖狀態(tài)圖描述觸發(fā)器的狀態(tài)轉(zhuǎn)換關(guān)系及轉(zhuǎn)換條件的圖形稱為狀態(tài)圖描述觸發(fā)器的狀態(tài)轉(zhuǎn)換關(guān)系及轉(zhuǎn)換條件的圖形稱為狀態(tài)圖011/1/10/01/當(dāng)觸發(fā)器處在當(dāng)觸發(fā)器處在0狀態(tài),即狀態(tài),即Qn=0時(shí),若輸入信號(hào)時(shí),若輸入信號(hào) 01或或11,觸發(fā)器仍為觸發(fā)器仍為0狀態(tài)狀態(tài);RS當(dāng)觸發(fā)器處在當(dāng)觸發(fā)器處在1狀態(tài),狀態(tài),即即Qn=1時(shí),若輸入信號(hào)時(shí),若輸入信號(hào) 1

11、0或或11,觸發(fā)器仍為觸發(fā)器仍為1狀態(tài)狀態(tài);RS若若R S 10,觸發(fā)器就會(huì)翻轉(zhuǎn)成為觸發(fā)器就會(huì)翻轉(zhuǎn)成為1狀態(tài)狀態(tài)。若若R S 01,觸發(fā)器就會(huì)翻轉(zhuǎn)成為觸發(fā)器就會(huì)翻轉(zhuǎn)成為0狀態(tài)狀態(tài)。波形分析舉例解:解:設(shè)下圖中觸發(fā)器初始狀態(tài)為設(shè)下圖中觸發(fā)器初始狀態(tài)為 0,試對(duì)應(yīng)輸入波形,試對(duì)應(yīng)輸入波形 畫出畫出 Q 和和 Q 的波形。的波形。QQSDRDSRSDRD保持保持初態(tài)為初態(tài)為 0,故保持為,故保持為 0。置置 0 保持保持QQ置置 1波形圖波形圖(二)(二) 用或非門組成的基本觸發(fā)器用或非門組成的基本觸發(fā)器輸入信號(hào)輸入信號(hào)R、S為為高電平有效高電平有效用兩個(gè)用兩個(gè)或非門或非門交叉連交叉連接構(gòu)成接構(gòu)成電

12、路電路組成組成兩個(gè)互補(bǔ)的輸出端兩個(gè)互補(bǔ)的輸出端1狀態(tài)狀態(tài):Q1、 Q 0 0狀態(tài)狀態(tài):Q0、 Q 1或非門組成的基本或非門組成的基本RS觸發(fā)器的特性表觸發(fā)器的特性表 R高電平高電平有效置有效置0S高電平有高電平有效置效置1( (三三) )基本基本 RS 觸發(fā)器的兩種形式觸發(fā)器的兩種形式特特性性表表Qn11101010不定不定00Qn+1SDRD不定不定11001110Qn00Qn+1SDRDQQSDRDSRQQSDRDSR邏邏輯輯符符號(hào)號(hào)置置 0、置、置1 信信號(hào)號(hào)低電平有效低電平有效置置 0、置、置1 信信號(hào)號(hào)高電平有效高電平有效注注意意弄清輸入信號(hào)弄清輸入信號(hào)是低電平有效是低電平有效還是高

13、電平有還是高電平有效。效。一、一、CMOS 集成基本集成基本RS觸發(fā)器觸發(fā)器1. 由與非門組成:由與非門組成:CC4044&1TGRSENENQ11ENENEN三態(tài)三態(tài) RS 鎖存觸發(fā)器特性表鎖存觸發(fā)器特性表R S ENQ n+1 注注 0 Z 高阻態(tài)高阻態(tài)0 0 10 1 11 0 11 1 1Q n保保 持持 置置 1 置置 0不允許不允許10不用不用內(nèi)含內(nèi)含 4 個(gè)個(gè)基本基本 RS 觸發(fā)器觸發(fā)器集成基本觸發(fā)器集成基本觸發(fā)器二、二、TTL 集成基本集成基本RS觸發(fā)器觸發(fā)器74279、74LS279QR&SQR&S1S2+VCC1R1SA1SB2R2S3R3SA3SB4R4S1Q2Q3Q4Q

14、12356101112141547913Q1Q2Q3Q4816R1S11S12R2S2R3S31S32R4S4基本基本RS觸發(fā)器的特點(diǎn):觸發(fā)器的特點(diǎn):主要優(yōu)點(diǎn)主要優(yōu)點(diǎn)(1 1)結(jié)構(gòu)簡(jiǎn)單,僅由兩個(gè))結(jié)構(gòu)簡(jiǎn)單,僅由兩個(gè)與非門與非門或者或者或非門或非門交叉連接構(gòu)成。交叉連接構(gòu)成。(2 2)具有置)具有置0、置、置1 1和保持功能,其特性方程為和保持功能,其特性方程為存在問(wèn)題存在問(wèn)題(1 1)電平直接控制,即由輸入信號(hào)直接控制觸發(fā)器的輸出,)電平直接控制,即由輸入信號(hào)直接控制觸發(fā)器的輸出,電路抗干擾能力下降電路抗干擾能力下降(2 2)R、S之間存在約束,即兩個(gè)輸入不能同時(shí)為高電平。之間存在約束,即兩個(gè)

15、輸入不能同時(shí)為高電平。 約束條件01RSQRSQnn主要要求:主要要求:了解了解同步同步 RS 觸發(fā)器、觸發(fā)器、 D 觸發(fā)器觸發(fā)器的電路、工的電路、工作特點(diǎn)。作特點(diǎn)。 4.2同步觸發(fā)器同步觸發(fā)器掌握掌握 同步同步RS 觸發(fā)器、觸發(fā)器、D 觸發(fā)器的邏輯功能及其觸發(fā)器的邏輯功能及其特性方程。特性方程。 同步觸發(fā)器同步觸發(fā)器 Synchronous Flip - Flop 實(shí)際工作中,觸發(fā)器的工作狀態(tài)不僅要由觸發(fā)輸入實(shí)際工作中,觸發(fā)器的工作狀態(tài)不僅要由觸發(fā)輸入信號(hào)決定,而且要求按照一定的節(jié)拍工作。為此,需要信號(hào)決定,而且要求按照一定的節(jié)拍工作。為此,需要增加一個(gè)增加一個(gè)時(shí)鐘控制端時(shí)鐘控制端 CP。

16、CP 即即 Clock Pulse,它是一串,它是一串周期和脈寬一定的矩形脈沖。周期和脈寬一定的矩形脈沖。 具有時(shí)鐘脈沖控制的觸發(fā)器稱為具有時(shí)鐘脈沖控制的觸發(fā)器稱為時(shí)鐘觸發(fā)器時(shí)鐘觸發(fā)器,又稱鐘控觸發(fā)器。又稱鐘控觸發(fā)器。 同步觸發(fā)器是其中最簡(jiǎn)單的一種,而同步觸發(fā)器是其中最簡(jiǎn)單的一種,而基本基本 RS 觸發(fā)器稱異步觸發(fā)器。觸發(fā)器稱異步觸發(fā)器。 ( (一一) )同步同步 RS 觸發(fā)器觸發(fā)器QQG1G2SRG3G4CPQ3Q4( (一一) )同步同步 RS 觸發(fā)器觸發(fā)器 工作原理工作原理 CP = 0 時(shí),時(shí),G3、G4被封鎖,輸入信號(hào)被封鎖,輸入信號(hào) R、S不起作用?;静黄鹱饔??;?RS 觸發(fā)觸

17、發(fā)器的輸入均為器的輸入均為 1,觸發(fā)器,觸發(fā)器狀態(tài)保持不變。狀態(tài)保持不變。 CP = 1 時(shí),時(shí),G3、G4解除封鎖,將輸入信號(hào)解除封鎖,將輸入信號(hào) R 和和 S 取非后送至基本取非后送至基本 RS 觸發(fā)器的輸入端。觸發(fā)器的輸入端。 0111SR1. 電路結(jié)構(gòu)與工作原理電路結(jié)構(gòu)與工作原理 基本基本 RS 觸發(fā)器觸發(fā)器 增加了由時(shí)鐘增加了由時(shí)鐘 CP 控制的門控制的門 G3、G4 QQ1SC11R QQG1G2SRG3G4CPQ3Q4不定不定110011 10Qn00Qn+1SRRS功能功能 R、S 信號(hào)信號(hào)高電平有效高電平有效 SSDRRDRDSD2. 邏輯功能與邏輯符號(hào)邏輯功能與邏輯符號(hào)異步

18、置異步置 0 端端 RD 和異步置和異步置1 端端 SD 不受不受 CP 控制??刂啤?shí)際應(yīng)用中,常需要利用異步端預(yù)置觸發(fā)器值實(shí)際應(yīng)用中,常需要利用異步端預(yù)置觸發(fā)器值( (置置 0 或置或置 1) ),預(yù)置完畢后應(yīng)使,預(yù)置完畢后應(yīng)使 RD = SD = 1。RDCPRQQ1SSC1CPR1RRVCCRDS解:解: 例例 對(duì)應(yīng)輸入波形畫出下圖中對(duì)應(yīng)輸入波形畫出下圖中 Q 端端波形。波形。原態(tài)未知原態(tài)未知QVCCRDRD3. 同步同步 RS 觸發(fā)器的特性表與特性方程觸發(fā)器的特性表與特性方程 000010101010101101011000111101Qn+1QnSR特特性性表表同步同步RS觸發(fā)器觸

19、發(fā)器Qn+1的卡諾圖的卡諾圖RSQn0100 0111 10 1 1 1 特性方程特性方程nnQRSQ 1RS = 0( (約束條件約束條件) )RS 觸發(fā)器功能也可用特性表與觸發(fā)器功能也可用特性表與特性方程來(lái)描述。特性方程來(lái)描述。特性方程特性方程指觸發(fā)器次態(tài)與輸入信號(hào)和電路原有指觸發(fā)器次態(tài)與輸入信號(hào)和電路原有狀態(tài)之間的邏輯關(guān)系式。狀態(tài)之間的邏輯關(guān)系式。 1、電路組成及工作原理電路組成及工作原理QG1R&SQG3R&SG2G41DRDS ,nnQRSQ 1nDQD D (CP = 1期間有效期間有效)在同步在同步RSRS觸發(fā)器的基礎(chǔ)上,觸發(fā)器的基礎(chǔ)上,增加反相器增加反相器G G5 5,通過(guò)它把

20、加,通過(guò)它把加在在S S端的端的D D信號(hào)反相后送到信號(hào)反相后送到R R端。端。如右圖。如右圖。簡(jiǎn)化電路:省掉反相器。把簡(jiǎn)化電路:省掉反相器。把G3的輸出送到的輸出送到R端。端。G3的輸出為的輸出為SCPS1SDR解:解: 例例 對(duì)應(yīng)輸入波形畫出下圖中對(duì)應(yīng)輸入波形畫出下圖中 Q 端端波形波形( (設(shè)觸發(fā)器設(shè)觸發(fā)器 初始狀態(tài)為初始狀態(tài)為 0) )。QQ1DDC1CPDCPQCP = 0,同步觸發(fā)器狀態(tài)不變,同步觸發(fā)器狀態(tài)不變初始狀態(tài)為初始狀態(tài)為 0CP = 1,同步,同步 D 觸發(fā)觸發(fā)器次態(tài)跟隨器次態(tài)跟隨 D 信號(hào)信號(hào) 同步觸發(fā)器在同步觸發(fā)器在 CP = 1 期間能發(fā)生多期間能發(fā)生多次翻轉(zhuǎn),這種

21、次翻轉(zhuǎn),這種現(xiàn)象稱為空翻現(xiàn)象稱為空翻1 1)時(shí)鐘電平控制,無(wú)約束問(wèn)題)時(shí)鐘電平控制,無(wú)約束問(wèn)題在在CP=1期間,若期間,若D=1,則則Qn+1=1;若若D=0,則則Qn+1=0,即根據(jù)輸入信號(hào)即根據(jù)輸入信號(hào)D取值不同,觸發(fā)取值不同,觸發(fā)器既可以置器既可以置1,也可以置也可以置0。由于電路是在同步由于電路是在同步RS觸發(fā)器基礎(chǔ)上經(jīng)過(guò)改觸發(fā)器基礎(chǔ)上經(jīng)過(guò)改進(jìn)得到的,所以約束問(wèn)題不存在。進(jìn)得到的,所以約束問(wèn)題不存在。2) CP=1時(shí)跟隨,下降沿到來(lái)時(shí)才鎖存時(shí)跟隨,下降沿到來(lái)時(shí)才鎖存CP=1期間,輸出端隨輸入端的變化而變化;期間,輸出端隨輸入端的變化而變化;只有只有當(dāng)當(dāng)CP脈沖下降沿到來(lái)時(shí)才鎖存,鎖存的

22、內(nèi)脈沖下降沿到來(lái)時(shí)才鎖存,鎖存的內(nèi)容是容是CP下降沿瞬間下降沿瞬間D的值。的值。2、主要特點(diǎn)、主要特點(diǎn)3. D 觸發(fā)器的觸發(fā)器的特性表、特性方程、特性表、特性方程、驅(qū)動(dòng)表驅(qū)動(dòng)表和和狀態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖 由觸發(fā)器現(xiàn)態(tài)和次態(tài)的取值來(lái)確定輸入由觸發(fā)器現(xiàn)態(tài)和次態(tài)的取值來(lái)確定輸入信號(hào)取值的關(guān)系表,又稱激勵(lì)表。信號(hào)取值的關(guān)系表,又稱激勵(lì)表。 用圓圈及其內(nèi)的標(biāo)注表示電路的所有穩(wěn)態(tài),用箭用圓圈及其內(nèi)的標(biāo)注表示電路的所有穩(wěn)態(tài),用箭頭表示狀態(tài)轉(zhuǎn)換的方向,箭頭旁的標(biāo)注表示狀態(tài)頭表示狀態(tài)轉(zhuǎn)換的方向,箭頭旁的標(biāo)注表示狀態(tài)轉(zhuǎn)換的條件。轉(zhuǎn)換的條件。 它們是觸發(fā)器邏輯功能的不同描述方法,它們是觸發(fā)器邏輯功能的不同描述方法,也

23、是時(shí)序邏輯電路邏輯功能的描述方法。也是時(shí)序邏輯電路邏輯功能的描述方法。0 00 11 01 1D Qn Qn+1特性方程特性方程Qn+1 = D001101010011Qn+1QnDD 觸發(fā)器特性表觸發(fā)器特性表 00001111D 觸發(fā)器驅(qū)動(dòng)表觸發(fā)器驅(qū)動(dòng)表 0 00 11 01 10011無(wú)約束無(wú)約束 Qn+1 在在 D = 0 時(shí)時(shí)就為就為 0,與,與 Qn 無(wú)關(guān)。無(wú)關(guān)。0 00 11 01 10 1D = 1D = 0D = 0D = 1 Qn+1 在在 D = 1 時(shí)時(shí)就為就為 1,與,與 Qn 無(wú)關(guān)。無(wú)關(guān)。3. D 觸發(fā)器的觸發(fā)器的特性表、特性方程、特性表、特性方程、驅(qū)動(dòng)表驅(qū)動(dòng)表和和狀

24、態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖 同步同步D觸發(fā)器觸發(fā)器狀態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖 三、集成同步三、集成同步 D 觸發(fā)器觸發(fā)器1. TTL:74LS375QG1QG3R&SG2G4111G5RSnnQRSQ 1nDQD D +VCC1D01LE1D12D02LE2D11Q01Q01Q11Q12Q02Q02Q12Q114791215236510111413Q1Q1Q2Q2Q3Q3Q4Q4D1CP1、2D2D3CP3、4D48162. CMOS:CC4042CG1QG3G2G41TGCQTGC111CG5G611CC=10 1CP = 0保持保持CP = 1CP = 1CP = 0保持保持+VCCD0D1D2D3CP

25、POLQ0Q0Q1Q1Q2Q2Q3Q347131456329101211151Q0Q0Q1Q1Q2Q2Q3Q3D0D1D2D3CPPOL816VSSD CP POLQnQn+1注注 0 1 0 0 1 1 0 1 1 1 1 1 0 0 0 1 0 0 1 0 0 1 0 1 01010101保持保持接收接收接收接收保持保持特性表特性表真值表真值表 D CP POL Q注注 D 0 0 D 0 D 1 1 D 1 D鎖存鎖存D鎖存鎖存接接 收收CP 上升沿鎖存上升沿鎖存接接 收收CP 下降沿鎖存下降沿鎖存( (三三) )同步觸發(fā)器的特點(diǎn)同步觸發(fā)器的特點(diǎn) 同步觸發(fā)器的同步觸發(fā)器的觸發(fā)方式觸發(fā)方式

26、為為電平觸發(fā)式電平觸發(fā)式 同步觸發(fā)器的共同缺點(diǎn)是存在同步觸發(fā)器的共同缺點(diǎn)是存在空翻空翻 觸發(fā)脈沖作用期間,輸入信號(hào)發(fā)生多次變化時(shí),觸發(fā)器觸發(fā)脈沖作用期間,輸入信號(hào)發(fā)生多次變化時(shí),觸發(fā)器輸出狀態(tài)也相應(yīng)發(fā)生多次變化的現(xiàn)象稱為空翻。輸出狀態(tài)也相應(yīng)發(fā)生多次變化的現(xiàn)象稱為空翻。 空翻可導(dǎo)致電路工作失控??辗蓪?dǎo)致電路工作失控。指時(shí)鐘脈沖信號(hào)控制指時(shí)鐘脈沖信號(hào)控制觸發(fā)器工作的方式觸發(fā)器工作的方式 CP = 1 期間翻轉(zhuǎn)的稱正電平觸發(fā)式;期間翻轉(zhuǎn)的稱正電平觸發(fā)式; CP = 0 期間翻轉(zhuǎn)的稱負(fù)電平觸發(fā)式。期間翻轉(zhuǎn)的稱負(fù)電平觸發(fā)式。 主要要求:主要要求: 掌握邊沿觸發(fā)器的類型及其工作特點(diǎn)。掌握邊沿觸發(fā)器的類型

27、及其工作特點(diǎn)。掌握由掌握由觸發(fā)器符號(hào)識(shí)別觸發(fā)器符號(hào)識(shí)別其邏輯功能和觸發(fā)方式,其邏輯功能和觸發(fā)方式,并進(jìn)行波形分析。并進(jìn)行波形分析。4.3 邊沿觸發(fā)器邊沿觸發(fā)器 邊沿觸發(fā)器是利用時(shí)鐘脈沖的有效邊沿(上升邊沿觸發(fā)器是利用時(shí)鐘脈沖的有效邊沿(上升沿或下降沿)將輸入的變化反映在輸出端,而沿或下降沿)將輸入的變化反映在輸出端,而在在CPCP=0=0及及CPCP=1=1不接收信號(hào),輸出不會(huì)誤動(dòng)作。不接收信號(hào),輸出不會(huì)誤動(dòng)作。 邊沿觸發(fā)器邊沿觸發(fā)器CPCP脈沖上升沿或下降沿進(jìn)行脈沖上升沿或下降沿進(jìn)行觸發(fā)。觸發(fā)。 正邊沿觸發(fā)器正邊沿觸發(fā)器CPCP脈沖上升沿觸發(fā)。脈沖上升沿觸發(fā)。 負(fù)邊沿觸發(fā)器負(fù)邊沿觸發(fā)器CPC

28、P脈沖下降沿觸發(fā)。脈沖下降沿觸發(fā)。 邊沿觸發(fā)方式,可提高觸發(fā)器工作的可靠性,邊沿觸發(fā)方式,可提高觸發(fā)器工作的可靠性,增強(qiáng)抗干擾能力。增強(qiáng)抗干擾能力。 邊沿觸發(fā)器邊沿觸發(fā)器一、電路組成及工作原理一、電路組成及工作原理兩個(gè)同步兩個(gè)同步D D觸發(fā)器級(jí)聯(lián)而成觸發(fā)器級(jí)聯(lián)而成 具有主從結(jié)構(gòu)具有主從結(jié)構(gòu) D CP Q Q DQ Q曾用符號(hào) D CP 1DQ Q國(guó)標(biāo)符號(hào) CP C1G5 G6G1 G2CPG3 從 G4&Q Q1G7 主 G8&1D1QmQm&(1 1)CP0 0時(shí),門時(shí),門G7、G8被被封鎖,門封鎖,門G3、G4打開(kāi),從觸打開(kāi),從觸發(fā)器的狀態(tài)取決于主觸發(fā)器發(fā)器的狀態(tài)取決于主觸發(fā)器Q=Qm、Q

29、=Qm,輸入信號(hào),輸入信號(hào)D不起作用。不起作用。(2 2)CP1 1時(shí),門時(shí),門G7、G8打打開(kāi),門開(kāi),門G3、G4被封鎖,從觸被封鎖,從觸發(fā)器狀態(tài)不變,主觸發(fā)器的發(fā)器狀態(tài)不變,主觸發(fā)器的狀態(tài)跟隨輸入信號(hào)狀態(tài)跟隨輸入信號(hào)D的變化而的變化而變化,即在變化,即在CP1期間始終期間始終都有都有Qm=D。二、工作原理二、工作原理G5 G6G1 G2CPG3 從 G4&Q Q1G7 主 G8&1D1QmQm&(3 3)CP下降沿到來(lái)時(shí),封下降沿到來(lái)時(shí),封鎖門鎖門G7、G8,打開(kāi)門,打開(kāi)門G3、G4,主觸發(fā)器鎖存,主觸發(fā)器鎖存CP下降下降時(shí)刻時(shí)刻D的值,即的值,即Qm=D,隨,隨后將該值送入從觸發(fā)器,使后

30、將該值送入從觸發(fā)器,使Q=D、Q=D。(4 4)CP下降沿過(guò)后,主觸下降沿過(guò)后,主觸發(fā)器鎖存的發(fā)器鎖存的CP下降沿時(shí)刻下降沿時(shí)刻D的值被保存下來(lái),而從觸發(fā)的值被保存下來(lái),而從觸發(fā)器的狀態(tài)也將保持不變。器的狀態(tài)也將保持不變。 綜上所述,邊沿綜上所述,邊沿D觸發(fā)器觸發(fā)器的特性方程為:的特性方程為:G5 G6G1 G2CPG3 從 G4&Q Q1G7 主 G8&1D1QmQm&下降沿時(shí)刻有效CPDQn1 CPQm 波形圖波形圖 CPQm 波形圖波形圖G5 G9 G6G1CPG3 G&Q Q1G7 G&1D1&G8 G10 G4G2 G11 RDSD D CP Q Q曾用符號(hào)SD RD Q 國(guó)標(biāo)符號(hào)Q

31、SD CPDRD 三、異步輸入端的作用三、異步輸入端的作用 1 1、同步輸入端與異步輸入、同步輸入端與異步輸入端端 帶有異步輸入端的邊沿帶有異步輸入端的邊沿D觸觸發(fā)器的邏輯電路圖和邏輯符號(hào)發(fā)器的邏輯電路圖和邏輯符號(hào)如圖所示。如圖所示。 D叫做同步輸入端。叫做同步輸入端。 、 叫做異步輸入端,當(dāng)叫做異步輸入端,當(dāng) 0時(shí),時(shí),觸發(fā)器被復(fù)位到觸發(fā)器被復(fù)位到0狀態(tài);狀態(tài);當(dāng)當(dāng) 0時(shí),觸發(fā)器被置位到時(shí),觸發(fā)器被置位到1狀態(tài)。狀態(tài)。DSDRDSDRG5 G9 G6G1CPG3 G&Q Q1G7 G&1D1&G8 G10 G4G2 G11 RDSD 2 2、異步輸入端的工作原理、異步輸入端的工作原理 、RD

32、端的工作原理端的工作原理 當(dāng)當(dāng)RD0時(shí),為了可靠地將時(shí),為了可靠地將觸發(fā)器復(fù)位到觸發(fā)器復(fù)位到0狀態(tài),狀態(tài),RD既接既接到門到門G2、G6的輸入端,也接到的輸入端,也接到門門G7的輸入端。這的輸入端。這不僅將主觸不僅將主觸發(fā)器和從觸發(fā)器同時(shí)直接復(fù)位發(fā)器和從觸發(fā)器同時(shí)直接復(fù)位到到0 0狀態(tài),而且還封住了門狀態(tài),而且還封住了門G7,使使D即便是即便是CP=1也不能起作用。也不能起作用。也就是說(shuō)無(wú)論也就是說(shuō)無(wú)論CP處在什么狀處在什么狀態(tài)態(tài)( (0或或1) ),加在,加在RD端的低電平端的低電平或負(fù)脈沖均能將觸發(fā)器可靠地或負(fù)脈沖均能將觸發(fā)器可靠地復(fù)位到復(fù)位到Q=0、Q=1 ,即,即0狀態(tài)。狀態(tài)。G5 G

33、9 G6G1CPG3 G&Q Q1G7 G&1D1&G8 G10 G4G2 G11 RDSD 2 2、異步輸入端的工作原理、異步輸入端的工作原理 、SD端的工作原理端的工作原理 SD分別接到門分別接到門G1、G5 、 G8的輸入端。的輸入端。因此無(wú)論因此無(wú)論CP為何為何值,加在值,加在SD端的低電平或負(fù)脈端的低電平或負(fù)脈沖,都能將觸發(fā)器可靠地置位沖,都能將觸發(fā)器可靠地置位到到Q=1、Q=0 ,即,即1狀態(tài)。即狀態(tài)。即使使CP=1,由于門由于門G8被封鎖,被封鎖,D信號(hào)也進(jìn)不了主觸發(fā)器,也信號(hào)也進(jìn)不了主觸發(fā)器,也就是說(shuō),只要加在就是說(shuō),只要加在SD端的低電端的低電平或負(fù)脈沖一到,無(wú)論平或負(fù)脈沖一

34、到,無(wú)論CP是是什么狀態(tài)、什么狀態(tài)、D為何值,觸發(fā)器為何值,觸發(fā)器一定是一定是Q=1、Q=0 。 二、邊沿二、邊沿D觸發(fā)器的主要特點(diǎn)觸發(fā)器的主要特點(diǎn) 1 1、CP邊沿(上升沿或下降沿)觸發(fā)邊沿(上升沿或下降沿)觸發(fā) 在在CP脈沖上升沿(或下降沿)時(shí)刻,觸發(fā)器按照脈沖上升沿(或下降沿)時(shí)刻,觸發(fā)器按照特性方程特性方程Qn+1=D的規(guī)定轉(zhuǎn)換狀態(tài),實(shí)際上是加在的規(guī)定轉(zhuǎn)換狀態(tài),實(shí)際上是加在D端端的信號(hào)被鎖存起來(lái),送到輸出端。的信號(hào)被鎖存起來(lái),送到輸出端。 2 2、抗干擾能力強(qiáng)、抗干擾能力強(qiáng) 因?yàn)橹辉谟|發(fā)沿甚短的時(shí)間內(nèi)觸發(fā),其他時(shí)間輸入因?yàn)橹辉谟|發(fā)沿甚短的時(shí)間內(nèi)觸發(fā),其他時(shí)間輸入信號(hào)對(duì)觸發(fā)器不起作用,保

35、證信號(hào)的可靠接收。信號(hào)對(duì)觸發(fā)器不起作用,保證信號(hào)的可靠接收。 3 3、只具有置、只具有置1 1、置、置0 0功能功能 在某些情況下,使用起來(lái)不夠方便。在某些情況下,使用起來(lái)不夠方便。CPDQ波形圖波形圖 Q QCPDQ 邊沿觸發(fā)器及邊沿觸發(fā)器及CP和和D的波形如下圖所示,試對(duì)應(yīng)畫的波形如下圖所示,試對(duì)應(yīng)畫出出Q和和Q的波形圖。的波形圖。 解:由圖所示的邊沿觸發(fā)器邏輯符號(hào)可解:由圖所示的邊沿觸發(fā)器邏輯符號(hào)可知這是一個(gè)下降沿觸發(fā)的邊沿知這是一個(gè)下降沿觸發(fā)的邊沿D觸發(fā)器,觸發(fā)器,于是畫出的于是畫出的Q和和Q的波形如下。的波形如下。 如右圖所示在邊沿如右圖所示在邊沿D觸發(fā)器的基礎(chǔ)上,增加觸發(fā)器的基礎(chǔ)上

36、,增加三個(gè)門三個(gè)門G1、G2、G3,把,把輸出輸出Q饋送回饋送回G1、 G3便便構(gòu)成了邊沿構(gòu)成了邊沿JK觸發(fā)器。觸發(fā)器。4.3.2 4.3.2 邊沿邊沿JK觸發(fā)器觸發(fā)器DCP&Q Q1&1111JK&G1G2G3 J CP K Q Q J KQ Q曾用符號(hào) J CP K 1J 1KQ Q國(guó)標(biāo)符號(hào) CP C1一、電路組成及其工作原理一、電路組成及其工作原理 邏輯符號(hào)如下圖所示。邏輯符號(hào)如下圖所示。1、D的邏輯表達(dá)式的邏輯表達(dá)式nnnnnnnnnnQKQJKJQKQJQKQJKQQJKQQJD)()(1nnnQDJQKQCP下降沿時(shí)刻有效下降沿時(shí)刻有效二、工作原理二、工作原理 2 2、特性方程、特

37、性方程 將上式代入邊沿將上式代入邊沿D觸觸發(fā)器的特性方程,可以發(fā)器的特性方程,可以得到:得到:DCP&Q Q1&1111JK&G1G2G3二、集成邊沿二、集成邊沿JK觸發(fā)器觸發(fā)器(a) 74LS112 的引腳圖 16 15 14 13 12 11 10 974LS112 1 2 3 4 5 6 7 8VCC 1RD 2RD 2CP 2K 2J 2SD 2Q1CP 1K 1J 1SD 1Q 1Q 2Q GND(b) CC4027 的引腳圖 16 15 14 13 12 11 10 9CC4027 1 2 3 4 5 6 7 8VDD 2Q 2Q 2CP 2RD 2K 2J 2SD1Q 1Q 1C

38、P 1RD 1K 1J 1SD VSS74LS112為為CP下降沿觸發(fā),其異步輸入端下降沿觸發(fā),其異步輸入端RD和和SD為為低電平有效。低電平有效。CC4027為為CP上升沿觸發(fā),且其異步輸入端上升沿觸發(fā),且其異步輸入端RD和和SD為高電平有效。為高電平有效。注注意意1、CMOS邊沿邊沿JK觸發(fā)器觸發(fā)器CC4027異步置異步置1異步置異步置0不允許不允許10不用不用 0 1 1 0 1 1 不變不變01 0 0 0 1 0 0 保持保持同步置同步置0同步置同步置1翻轉(zhuǎn)翻轉(zhuǎn)01001110 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0

39、 1 0 0 1 1 0 0 0 1 1 1 0 0 注注Qn+1J K Qn RD SD CPCC4027的特性表的特性表 當(dāng)當(dāng)RD=SD=0時(shí),時(shí),CP上上升沿瞬間,觸發(fā)器按照升沿瞬間,觸發(fā)器按照特性方程特性方程Qn+1=JQn+KQn的規(guī)定轉(zhuǎn)換狀態(tài),的規(guī)定轉(zhuǎn)換狀態(tài),CP下下降沿?zé)o效,即降沿?zé)o效,即CP下降沿下降沿不起作用,相應(yīng)地觸發(fā)不起作用,相應(yīng)地觸發(fā)器仍維持原來(lái)狀態(tài)不變。器仍維持原來(lái)狀態(tài)不變。 當(dāng)異步輸入端工作時(shí),當(dāng)異步輸入端工作時(shí),J、K、Qn、CP均無(wú)效,均無(wú)效,即對(duì)即對(duì)Qn+1不起作用,觸發(fā)不起作用,觸發(fā)器輸出端的狀態(tài)僅決定器輸出端的狀態(tài)僅決定于于RD、SD的取值,當(dāng)?shù)娜≈担?dāng)R

40、DSD01時(shí)置時(shí)置1,RDSD10時(shí)置時(shí)置0, RDSD11不允不允許。許。2、TTL邊沿邊沿JK觸發(fā)器觸發(fā)器74LS11274LS112的特性表的特性表異步置異步置0異步置異步置1不允許不允許10不用不用 0 1 1 0 0 0 不變不變01 0 1 1 1 1 1 保持保持同步置同步置0同步置同步置1翻轉(zhuǎn)翻轉(zhuǎn)01001110 0 0 0 1 1 0 0 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 注注Qn+1J K Qn RD SD CP 當(dāng)當(dāng)RD=SD=1=1時(shí),時(shí),CP下下降沿瞬間,觸發(fā)器按照降沿瞬

41、間,觸發(fā)器按照特性方程特性方程Qn+1n+1= =JQn+KQn的規(guī)定轉(zhuǎn)換狀態(tài),的規(guī)定轉(zhuǎn)換狀態(tài),CP上上升沿?zé)o效,即升沿?zé)o效,即CP上升沿上升沿不起作用,相應(yīng)地觸發(fā)不起作用,相應(yīng)地觸發(fā)器仍維持原來(lái)狀態(tài)不變。器仍維持原來(lái)狀態(tài)不變。 當(dāng)異步輸入端工作時(shí),當(dāng)異步輸入端工作時(shí),J、K、Qn、CP均無(wú)效,均無(wú)效,即對(duì)即對(duì)Qn+1不起作用,觸發(fā)不起作用,觸發(fā)器輸出端的狀態(tài)僅決定器輸出端的狀態(tài)僅決定于于RD、SD的取值,當(dāng)?shù)娜≈担?dāng)RDSD01時(shí)置時(shí)置0 0,RDSD10時(shí)置時(shí)置1 1, RDSD00不不允許。允許。三、邊沿三、邊沿JKJK觸發(fā)器的主要特點(diǎn)觸發(fā)器的主要特點(diǎn) 1 1、CPCP邊沿(上升沿或下降

42、沿)觸發(fā)邊沿(上升沿或下降沿)觸發(fā)在在CPCP脈沖上升沿(或下降沿)時(shí)刻,觸發(fā)器按照特脈沖上升沿(或下降沿)時(shí)刻,觸發(fā)器按照特性方程的規(guī)定轉(zhuǎn)換狀態(tài),其它時(shí)間性方程的規(guī)定轉(zhuǎn)換狀態(tài),其它時(shí)間J J、K K不起作用。不起作用。 2 2、抗干擾能力強(qiáng)、抗干擾能力強(qiáng)因?yàn)橹辉谟|發(fā)沿甚短的時(shí)間內(nèi)觸發(fā),其它時(shí)間輸因?yàn)橹辉谟|發(fā)沿甚短的時(shí)間內(nèi)觸發(fā),其它時(shí)間輸 入信號(hào)對(duì)觸發(fā)器不起作用,保證信號(hào)的可靠接收。入信號(hào)對(duì)觸發(fā)器不起作用,保證信號(hào)的可靠接收。 3 3、功能齊全,使用靈活方便、功能齊全,使用靈活方便具有置具有置1 1、置、置0 0、保持、翻轉(zhuǎn)四種功能。、保持、翻轉(zhuǎn)四種功能。4.3.3邊沿觸發(fā)器邏輯功能及其轉(zhuǎn)換邊

43、沿觸發(fā)器邏輯功能及其轉(zhuǎn)換 ( (一一) )邊沿觸發(fā)器邏輯功能的比較邊沿觸發(fā)器邏輯功能的比較無(wú)約束,無(wú)約束,但功能少但功能少無(wú)約束,無(wú)約束,且功能強(qiáng)且功能強(qiáng)令令 J = K = T即可即可令令J = K = 1即可即可 D 功能功能1 0Qn+110DQn+1 = D T 功能功能 QnQnQn+110TnnQTQ 1 JK 功能功能 Qn10 QnQn+111011000KJQn+1 = JQn + KQnT功能功能(計(jì)數(shù)功能計(jì)數(shù)功能) 只有只有 CP 輸入端,輸入端,無(wú)數(shù)據(jù)輸入端。無(wú)數(shù)據(jù)輸入端。來(lái)一個(gè)來(lái)一個(gè)CP翻轉(zhuǎn)一次翻轉(zhuǎn)一次Qn+1 = Qn 一、邊沿觸發(fā)器邏輯功能分類一、邊沿觸發(fā)器邏輯功

44、能分類1 1、JK觸發(fā)器觸發(fā)器 凡具有保持、置凡具有保持、置1 1、置、置0 0、翻轉(zhuǎn)功能的時(shí)鐘觸、翻轉(zhuǎn)功能的時(shí)鐘觸發(fā)電路都稱為發(fā)電路都稱為JKJK型時(shí)鐘觸發(fā)器,簡(jiǎn)稱型時(shí)鐘觸發(fā)器,簡(jiǎn)稱JKJK觸發(fā)器。觸發(fā)器。CP下降沿下降沿(或上升沿或上升沿)有效有效特性表特性表特性方程特性方程DQn+10011功能功能置0置1特性表特性表DQn1CP下降沿下降沿(或上升沿或上升沿)時(shí)刻有效時(shí)刻有效特性方程特性方程 2 2、D型觸發(fā)器型觸發(fā)器 凡具有置凡具有置1 1、置、置0 0功能的電路都稱為功能的電路都稱為D型時(shí)鐘觸發(fā)型時(shí)鐘觸發(fā)器,簡(jiǎn)稱器,簡(jiǎn)稱D型觸發(fā)器或型觸發(fā)器或D觸發(fā)器。觸發(fā)器。Q1DC1CPDQ(a

45、)Q1DC1CPDQ(b) 邏輯符號(hào)邏輯符號(hào) 特性表特性表 特性方程特性方程 凡具有保持、翻轉(zhuǎn)功能的電路,即當(dāng)凡具有保持、翻轉(zhuǎn)功能的電路,即當(dāng)T=0是保持狀是保持狀態(tài)不變,態(tài)不變,T=1時(shí)翻轉(zhuǎn)的電路,都稱為時(shí)翻轉(zhuǎn)的電路,都稱為T型時(shí)鐘觸發(fā)器,型時(shí)鐘觸發(fā)器,簡(jiǎn)稱簡(jiǎn)稱T型觸發(fā)器或型觸發(fā)器或T觸發(fā)器。觸發(fā)器。3 3、T型觸發(fā)器型觸發(fā)器翻轉(zhuǎn)101 01 1保持010 00 1注Qn+1T QnCP下降沿下降沿(或上升沿或上升沿)有效有效Q1TC1CPTQT觸發(fā)器特性方程:觸發(fā)器特性方程:nnnnQTQTQTQ1與與JK觸發(fā)器的特性方程比較,得:觸發(fā)器的特性方程比較,得:TKTJ1J C11KTQQCP

46、JK觸發(fā)器觸發(fā)器T觸發(fā)器觸發(fā)器3 3、T型觸發(fā)器型觸發(fā)器D觸發(fā)器觸發(fā)器T觸發(fā)器觸發(fā)器nQTDT1D C1QQCP=1 特性表特性表 特性方程特性方程CP下降沿下降沿(或上升沿或上升沿)有效有效 3 3、T型觸發(fā)器型觸發(fā)器 凡是每來(lái)一個(gè)時(shí)鐘脈沖就翻轉(zhuǎn)一次的電路,都稱為凡是每來(lái)一個(gè)時(shí)鐘脈沖就翻轉(zhuǎn)一次的電路,都稱為T型時(shí)鐘觸發(fā)器。型時(shí)鐘觸發(fā)器。 CP Q Q C1 邏輯符號(hào)邏輯符號(hào)翻轉(zhuǎn)100 1 注Qn+1Qn CP1J C11K1QQCPnnQQ1與與JK觸發(fā)器的特性方程比較,得:觸發(fā)器的特性方程比較,得:nnnnQQQQ111JK觸發(fā)器觸發(fā)器T觸發(fā)器觸發(fā)器T觸發(fā)器的特性方程:觸發(fā)器的特性方程:變

47、換變換T觸發(fā)器的特性方程:觸發(fā)器的特性方程:11KJD觸發(fā)器觸發(fā)器T觸發(fā)器觸發(fā)器nQD CP1D C1QQ 二、邊沿觸發(fā)器邏輯功能表示方法二、邊沿觸發(fā)器邏輯功能表示方法 觸發(fā)器邏輯功能的表示方法有觸發(fā)器邏輯功能的表示方法有特性表特性表、卡諾圖卡諾圖、特性方程特性方程、狀態(tài)圖狀態(tài)圖和和時(shí)序圖時(shí)序圖5 5種。種。 1 1、特性表、卡諾圖和特性方程、特性表、卡諾圖和特性方程 (1 1)特性表(真值表)特性表(真值表) 以表格形式描述觸發(fā)器的邏輯功能。以表格形式描述觸發(fā)器的邏輯功能。 (2 2)卡諾圖)卡諾圖 表達(dá)構(gòu)成次態(tài)的各個(gè)最小項(xiàng)在邏輯上的相鄰性。表達(dá)構(gòu)成次態(tài)的各個(gè)最小項(xiàng)在邏輯上的相鄰性。 (3

48、3)特性方程)特性方程 用邏輯表達(dá)式概括觸發(fā)器用邏輯表達(dá)式概括觸發(fā)器的邏輯功能。的邏輯功能。D觸發(fā)器觸發(fā)器JK觸發(fā)器觸發(fā)器 2 2、狀態(tài)圖和時(shí)序圖、狀態(tài)圖和時(shí)序圖 (1 1)狀態(tài)圖)狀態(tài)圖 表示觸發(fā)器的狀態(tài)轉(zhuǎn)換關(guān)系及轉(zhuǎn)換條件。表示觸發(fā)器的狀態(tài)轉(zhuǎn)換關(guān)系及轉(zhuǎn)換條件。以以CP下降沿觸發(fā)的下降沿觸發(fā)的JK觸發(fā)器為例觸發(fā)器為例 (2 2)時(shí)序圖)時(shí)序圖 反應(yīng)時(shí)鐘脈沖反應(yīng)時(shí)鐘脈沖CP、輸入信號(hào)和觸發(fā)器狀態(tài)之間在、輸入信號(hào)和觸發(fā)器狀態(tài)之間在時(shí)間上的對(duì)應(yīng)關(guān)系。時(shí)間上的對(duì)應(yīng)關(guān)系。QCPJKQ注注意意( (1) ) 弄清弄清時(shí)鐘觸發(fā)沿時(shí)鐘觸發(fā)沿是上升沿還是下降沿?是上升沿還是下降沿?( (2) )弄清有無(wú)異步輸入

49、端?弄清有無(wú)異步輸入端?異步置異步置 0 端和異步端和異步置置 1 端端是低電平有效還是高電平有效?是低電平有效還是高電平有效?( (4) ) 邊沿觸發(fā)器的邏輯功能和特性方程與同步邊沿觸發(fā)器的邏輯功能和特性方程與同步觸發(fā)器的相同,但由于觸發(fā)方式不一樣,觸發(fā)器的相同,但由于觸發(fā)方式不一樣,因此,它們的邏輯功能和特性方程成立的因此,它們的邏輯功能和特性方程成立的時(shí)間不同。時(shí)間不同。邊沿觸發(fā)器的邏輯功能和特性邊沿觸發(fā)器的邏輯功能和特性方程只在時(shí)鐘的上升沿方程只在時(shí)鐘的上升沿( (或下降沿或下降沿) )成立。成立。( (3) ) 異步端不受時(shí)鐘異步端不受時(shí)鐘 CP 控制控制,將直接實(shí)現(xiàn)置,將直接實(shí)現(xiàn)置

50、 0 或置或置 1。觸發(fā)器工作時(shí),應(yīng)保證異步端接非。觸發(fā)器工作時(shí),應(yīng)保證異步端接非有效電平。有效電平。Q1Q1CPDC11D(a)(b)SC1R1DCPQ2Q2三、邊沿觸發(fā)器工作波形分析舉例三、邊沿觸發(fā)器工作波形分析舉例 解:解: 例例 設(shè)觸發(fā)器初態(tài)為設(shè)觸發(fā)器初態(tài)為 0,試對(duì)應(yīng)輸入波形畫出,試對(duì)應(yīng)輸入波形畫出 Q1、Q2 的波形。的波形。DCPQ1Q2nQD22 D 觸發(fā)器特性方程為觸發(fā)器特性方程為 Qn+1 = D功能是翻轉(zhuǎn)功能是翻轉(zhuǎn)因此因此nnQDQ2212 C110觸發(fā)器初態(tài)為觸發(fā)器初態(tài)為 0C1該電路的功能是:該電路的功能是:在時(shí)鐘觸發(fā)沿到在時(shí)鐘觸發(fā)沿到達(dá)時(shí)狀態(tài)發(fā)生翻轉(zhuǎn),這種功能稱為計(jì)

51、數(shù)達(dá)時(shí)狀態(tài)發(fā)生翻轉(zhuǎn),這種功能稱為計(jì)數(shù)功能,功能,相應(yīng)觸發(fā)器稱為計(jì)數(shù)觸發(fā)器。相應(yīng)觸發(fā)器稱為計(jì)數(shù)觸發(fā)器。 JCPKT解:解: 例例 設(shè)觸發(fā)器初態(tài)為設(shè)觸發(fā)器初態(tài)為 1,試對(duì)應(yīng)輸入波形畫出,試對(duì)應(yīng)輸入波形畫出 Q1、Q2 的波形。的波形。觸發(fā)器初態(tài)為觸發(fā)器初態(tài)為 1Q1Q1CPJC11J(a)(b)SC1R1KCPQ2Q2K1K1JTVCCC111001001SVCCRC1CP1JT1K1010CP 之前之前 J、K最后取值為最后取值為 1CP 之前之前 J、K最后取值為最后取值為 0觸發(fā)器初態(tài)為觸發(fā)器初態(tài)為 1Q1Q2T = 0 時(shí),時(shí),Qn+1 = Qn ;T = 1 時(shí),時(shí),Qn+1 = Qn

52、。這種功能稱這種功能稱 T 功能,相應(yīng)觸發(fā)器稱功能,相應(yīng)觸發(fā)器稱 T 觸發(fā)器。觸發(fā)器。Q2Q11D1DFF1FF2石英方波石英方波振蕩器振蕩器4MHzC1C1CP 例例 下圖為分頻器電路,設(shè)觸發(fā)器初態(tài)為下圖為分頻器電路,設(shè)觸發(fā)器初態(tài)為 0,試畫出,試畫出 Q1、Q2 的波形并求其頻率。的波形并求其頻率。CP解:解:C1CPfQ1 = fCP/2 = 2 MHz, fQ2 = fCP/4 = 1 MHzCPQ10Q20Q1C1對(duì)對(duì) CP 二分頻二分頻對(duì)對(duì) CP 四分頻四分頻兩個(gè)兩個(gè) D 觸發(fā)器均構(gòu)成觸發(fā)器均構(gòu)成 CP 觸發(fā)的計(jì)數(shù)觸發(fā)器觸發(fā)的計(jì)數(shù)觸發(fā)器 1010RDSDQ1JSDC1CP1KRSR

53、DCP解:解: 例例 試對(duì)應(yīng)輸入波形畫出下圖電路的輸出波形。試對(duì)應(yīng)輸入波形畫出下圖電路的輸出波形。C1CPSDSRRDQ1Qn+1 = JQn + KQn = Qn Qn+Qn Qn = Qn當(dāng)異步端無(wú)信號(hào)時(shí),觸發(fā)器將在當(dāng)異步端無(wú)信號(hào)時(shí),觸發(fā)器將在 CP 時(shí)翻轉(zhuǎn)。時(shí)翻轉(zhuǎn)。RD和和 SD為非有效電平為非有效電平觸發(fā)器的電氣特性觸發(fā)器的電氣特性4.4.1 靜態(tài)特性靜態(tài)特性一、一、CMOS 觸發(fā)器觸發(fā)器 由于由于 CMOS 觸發(fā)器的輸入、輸出以觸發(fā)器的輸入、輸出以 CMOS反相器反相器作為緩沖級(jí),故特性與作為緩沖級(jí),故特性與 CMOS 反相器相同,不贅述。反相器相同,不贅述。二、二、TTL 觸發(fā)器觸發(fā)器與與 TTL 反相器相同,不贅述。反相器相同,不贅述。4.4.2 動(dòng)態(tài)特性動(dòng)態(tài)特性一、一、輸入信號(hào)的建立時(shí)間和保持時(shí)間輸入信號(hào)的建立時(shí)間和保持時(shí)間( (一一) ) 建立時(shí)間建立時(shí)間 tset指要求觸發(fā)器輸入信號(hào)先于指要求觸發(fā)器輸入信號(hào)先于 CP 信號(hào)的時(shí)間。信號(hào)的時(shí)間。( (二二) ) 保持時(shí)間保持時(shí)間 th 指保證觸發(fā)器可靠翻轉(zhuǎn),指保證觸發(fā)器可靠翻轉(zhuǎn), CP 到來(lái)后輸入信號(hào)需保到來(lái)后輸入信號(hào)需保持的時(shí)間。持的時(shí)間。邊沿邊沿 D 觸發(fā)器的觸發(fā)器的 tset 和和 th 均在均在 10 ns 左右。左右。setthtse

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論