D類功放設(shè)計(jì)論文上課講義_第1頁
D類功放設(shè)計(jì)論文上課講義_第2頁
D類功放設(shè)計(jì)論文上課講義_第3頁
D類功放設(shè)計(jì)論文上課講義_第4頁
D類功放設(shè)計(jì)論文上課講義_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、Good is good, but better carries it.精益求精,善益求善。D類功放設(shè)計(jì)論文-長沙航空職業(yè)技術(shù)學(xué)院畢業(yè)論文D類功放的設(shè)計(jì)與分析姓名:陳劍專業(yè):電子聲像指導(dǎo)老師:戴鼎鵬2010-12-5、摘要:本論文首先分析了各類音頻功率放大器的工作原理及自然特點(diǎn),重點(diǎn)闡述了D類功率放大器的工作原理及和脈寬調(diào)制方案。D類功放采用脈寬調(diào)制技術(shù)來提高功放的效率,利用占空因數(shù),建立了分析功放效率的數(shù)學(xué)模型;詳細(xì)描述了D類功放的三角波發(fā)生器、比較器、H橋的電路設(shè)計(jì);列出了在設(shè)計(jì)中的注意事項(xiàng)。不僅保證了功放的高效性,而且具有良好的音質(zhì)效果。關(guān)鍵詞:功放;脈寬調(diào)制;高效;音質(zhì)Abstract

2、:OnthebasisoftheanalysisofoperatingprinciplesandperformancecharacteristicsofvariousPAsdescriptionofPWMandoperatingprincipleofclass-DaudioPas.InClassDPowerAmplifier,pulsewidthmodulationtechnologyisusedtoenhanceitsefficiency.Theadvantageofdutycycleisusedtobuildthemathematicalmodelforanalyzingefficienc

3、y.ThreecircuitsofClassDPowerAmplifier:trianglewaveoscillator,comparator,H-bridgearepresented.Someimportantmethodsaregiven.Thetechnologyimprovedthesoundqualityatthesametime.Keywords:poweramplification;pulsewidthmodulation;highefficiency;soundquality第一章音頻放大器的概述1.1音頻放大電路的回顧和展望隨著晶體管制造技術(shù)的不斷提高和新技術(shù)的應(yīng)用,各項(xiàng)實(shí)用

4、性指標(biāo)和可靠性指標(biāo)都有很大改善,并不斷在向更大的輸出功率,更小的體積,更輕的重量,更多的功能和智能化方向發(fā)展,如美國CROWN公司的MA-5000VZA功放,其最大輸出功率可達(dá)4000W/8(橋接,單通道);完善的可靠性設(shè)計(jì)使它在苛刻的環(huán)境中可連續(xù)工作,使得生產(chǎn)者可作3年免維護(hù)的保證;插入可編程的輸入處理模塊USP3;可對(duì)12000臺(tái)功放的工作狀態(tài)進(jìn)行程控調(diào)節(jié)和各種參數(shù)檢測(cè)。各種完善的可靠性保護(hù)措施,使它的可靠性大大提高,可與電子管功放媲美。晶體管功放具有許多寶貴優(yōu)點(diǎn),它的失真低于萬分之一,但其音質(zhì)聽感總不如電子管功放那么逼真,細(xì)膩,尤其是在表現(xiàn)瞬態(tài)變化快而清脆的打擊樂,弦樂和渾厚回蕩的鋼琴曲

5、方面感覺最明顯。20世紀(jì)80年代初,歐洲有些專業(yè)公司開始研究晶體管功放與電子管功放之間的性能差異及解決辦法。電子管是一種電壓控制器件,需要的控制功率極微,開關(guān)速率很快。晶體管是一種電流控制器件,需有較大的控制電流,轉(zhuǎn)換速率較慢,這是最基本的差別。80年代中期歐洲首先推出了采用MOSFET音頻場效應(yīng)管功放。MOSFET場效應(yīng)晶體管既具有晶體管的基本優(yōu)點(diǎn)。但使用不久發(fā)現(xiàn)這種功放的可靠性不高(無法外電路保護(hù)),開關(guān)速度提高得不多和最大輸出功率僅為150W/8等。90年代初,MOSFET的制造技術(shù)有了很大突破,出現(xiàn)了一種高速M(fèi)OSFET大功率開關(guān)場效應(yīng)晶體管。西班牙藝格公司(ECLER)經(jīng)多年研究,攻

6、克了非破壞性保護(hù)系統(tǒng)的SPM專利技術(shù),推出了集電子管功放和晶體管功放兩者優(yōu)點(diǎn)結(jié)合的第3代功放產(chǎn)品,在歐洲市場上獲得了認(rèn)可,并逐步在世界上得到了應(yīng)用。第3代MOSFET功放的中頻和高頻音質(zhì)接近電子管功放,但低頻的柔和度比晶體管功放差一些,此外MOSFET開關(guān)場效應(yīng)管容易被輸出和輸入過載損壞。數(shù)字功放的概念早在20世紀(jì)60年代就有人提出了,由于當(dāng)時(shí)技術(shù)條件的限制,進(jìn)展一直較慢。1983年,M.B.Sandler等學(xué)者提出了D類放大的PCM(脈碼調(diào)制)數(shù)字功放的基本結(jié)構(gòu)。主要技術(shù)要點(diǎn)是如何把PCM信號(hào)變成PWM(脈沖調(diào)寬信號(hào))。美國Tripass公司設(shè)計(jì)了改進(jìn)的D類數(shù)字功放,取名為“T”類功1999

7、年意大利POWERSOFT公司推出了數(shù)字功放的商業(yè)產(chǎn)品,從此,第4代音頻功率放大器,數(shù)字功放進(jìn)入了工程應(yīng)用,并獲得了世界同行的認(rèn)可,市場日益擴(kuò)大,最終將替代各類模擬功放。1.11音頻功率放大電路的簡介音頻放大器已經(jīng)有快要一個(gè)世紀(jì)的歷史了,最早的電子管放大器的第一個(gè)應(yīng)用就是音頻放大器。然而直到現(xiàn)在為止,它還在不斷地更新、發(fā)展、前進(jìn)。主要因?yàn)槿祟惖穆犛X是各種感覺中的相當(dāng)重要的一種,也是最基本的一種。為了滿足它的需要,有關(guān)的音頻放大器就要不斷地加以改進(jìn)。進(jìn)入21世紀(jì)以后,各種便攜式的電子設(shè)備成為了電子設(shè)備的一種重要的發(fā)展趨勢(shì)。從作為通信工具的手機(jī),到作為娛樂設(shè)備的MP3播放器,已經(jīng)成為差不多人人具備

8、的便攜式電子設(shè)備。陸續(xù)將要普及的還有便攜式電視機(jī),便攜式DVD等等。所有這些便攜式的電子設(shè)備的一個(gè)共同點(diǎn),就是都有音頻輸出,也就是都需要有一個(gè)音頻放大器;另一個(gè)特點(diǎn)就是它們都是電池供電的,都希望能夠有較長的使用壽命。就是在這種需求的背景下,D類放大器被開發(fā)出來了。它的最大特點(diǎn)就是它能夠在保持最低的失真情況下得到最高的效率。高效率的音頻放大器不只是在便攜式的設(shè)備中需要,在大功率的電子設(shè)備中也需要。因?yàn)?,功率越大,效率也就越重要。而隨著人們的居住條件的改善,高保真音響設(shè)備和更高檔的家庭影院也逐漸開始興起。在這些設(shè)備中,往往需要幾十瓦甚至幾百瓦的音頻功率。這時(shí),低失真、高效率的音頻放大器就成為其中的

9、關(guān)鍵部件。1.12音頻放大器分類長期以來,高品質(zhì)音頻放大器的工作類別,只限于A類(甲類)和AB類(甲乙類)。其原因在于過去只有電子管這樣的器件,B類(乙類)電子管放大器產(chǎn)生的失真使它們甚至在公共廣播用時(shí)都難于被人們所接受。所有的自稱為高保真放大器均工作于推挽式的A類(甲類)。隨著半導(dǎo)體器件的出現(xiàn)和發(fā)展,放大器的設(shè)計(jì)得到了更多的自由。就放大器的類別而言,已不限于A類(甲類)和AB類(甲乙類)。這里將各種類別的放大器簡介如下。不過需要指出,就目前來說用于音頻功率放大器的工作類別,A類(甲類)、AB類(甲乙類)和B類(乙類)這三類放大器仍覆蓋著半導(dǎo)體放大器的絕大多數(shù)。1.A類(甲類)放大器A類(甲類

10、)放大器,是指電流連續(xù)地流過所有輸出器件的一種放大器。這種放大器,由于避免了器件開關(guān)所產(chǎn)生的非線性,只要偏置和動(dòng)態(tài)范圍控制得當(dāng),僅從失真的角度來看,可認(rèn)為它是一種良好的線性放大器。A類放大器在結(jié)構(gòu)上,還有兩類不同的工作方式。其中一類是將兩個(gè)射極跟隨器相聯(lián)工作,其偏置電流要增加到在正常負(fù)載下有足夠的電流流過,而不使任一器件截止。這一措施的最大優(yōu)點(diǎn)是它不會(huì)突然地耗盡輸出電流,如果負(fù)載阻抗低于標(biāo)定值,放大器會(huì)短期出現(xiàn)截止現(xiàn)象,在失真上可能略有增加,但不致出現(xiàn)直感上的嚴(yán)重缺陷。另一類可稱作為控制電流源型(VCIS),它本質(zhì)上是一個(gè)單獨(dú)的射極跟隨器,并帶有一個(gè)有源發(fā)射極負(fù)載,以達(dá)到合適的電流泄放。這一類

11、作為輸出級(jí)時(shí),需要在開始設(shè)計(jì)之前就把所要驅(qū)動(dòng)的阻抗是多低搞清楚。2、B類(乙類)放大器B類(乙類)放大器,是指器件導(dǎo)通時(shí)間為50的一種工作類別。這類放大器可以說是最為流行的一種放大器,也許目前所生產(chǎn)的放大器有99是屬于這一類。由于大家比較熟悉,這里不作詳細(xì)介紹。3、AB類甲乙類)放大器AB類(甲乙類)放大器,實(shí)際上是A類(甲類)和B類(乙類)的結(jié)合,每個(gè)器件的導(dǎo)通時(shí)間在50100之間,依賴于偏置電流的大小和輸出電平。該類放大器的偏置按B類(乙類)設(shè)計(jì),然后增加偏置電流,使放大器進(jìn)入AB類(甲乙類)。AB類(甲乙類)放大器在輸出低于某一電平時(shí),兩個(gè)輸出器件皆導(dǎo)通,其狀態(tài)工作于A類(甲類);當(dāng)電平

12、增高時(shí),兩個(gè)器件將完全截止,而另一個(gè)器件將供給更多的電流。這樣在AB類(甲乙類)狀態(tài)開始時(shí),失真將會(huì)突然上升,其線性劣于A類(甲類)或B類(乙類)。不過筆者認(rèn)為,它的正當(dāng)使用在于它對(duì)A類(甲類)的補(bǔ)充,且當(dāng)面向低負(fù)載阻抗時(shí)可繼續(xù)較好地工作。4、C類(丙類)放大器C類(丙類)放大器,是指器件導(dǎo)通時(shí)間小于50的工作類別。這類放大器,一般用于射頻放大,很難找到用于音頻放大的實(shí)例。5、D類(丁類)放大器這類放大器,其特點(diǎn)是斷續(xù)地轉(zhuǎn)換器件的開通,其頻率超過音頻,可控制信號(hào)的占空比以使它的平均值能代表音頻信號(hào)的瞬時(shí)電平,這種情況被稱為脈寬調(diào)制(PWM),其效率在理論上來說是很高的。但是,實(shí)際困難還是非常大

13、的,因?yàn)?00kHz的高功率方波是不是好的出發(fā)點(diǎn)尚不清楚;從失真的角度來看,為保證采樣頻率的有效性,必須將一個(gè)陡峭截止頻率的低通濾波器插入放大器與揚(yáng)聲器之間,以消除絕大部分的射頻成分,這至少需要4個(gè)電感(考慮立體聲),成本自然不會(huì)低。此外,表現(xiàn)在頻響方面,它只能對(duì)某一特定負(fù)載阻抗保證平坦的頻率響應(yīng)。6、E類(戊類)放大器這類放大器,是一個(gè)極端聰明的半導(dǎo)體技術(shù)應(yīng)用,它在幾乎所有工作時(shí)間內(nèi),通過的電壓或電流是較小的,亦即功率耗散很低。遺憾的是,它僅用于射頻技術(shù),而不用于音頻。7、簡介D類放大器的工作原理可能讀者都早就熟悉了A類、B類、AB類和C類放大器,其實(shí)所有這些放大器的區(qū)別只是在于靜態(tài)工作點(diǎn)的

14、選擇。A類放大器具有最大的靜態(tài)工作電流,也就是它在沒有輸入信號(hào)的時(shí)候也會(huì)消耗電流,因而顯然它的效率是最低的。但是,只要選擇合適的工作點(diǎn),它通常具有最低的失真。B類放大器則選擇了50%的導(dǎo)通時(shí)間,它的效率肯定比A類放大器要高,但是失真也要嚴(yán)重很多。AB類放大器則是介于A類和B類之間。它的導(dǎo)通時(shí)間也是介于50%到100%之間。C類放大器是指那些導(dǎo)通時(shí)間小于50%的放大器,通常用于負(fù)載為調(diào)諧回路的射頻放大器中。D類放大器是一種完全不同的放大器,其實(shí)稱之為D類放大器似乎并不恰當(dāng)。因?yàn)樗⒉恢皇欠糯笃鞴ぷ鼽c(diǎn)的選擇。所以也有人稱之為“數(shù)字音頻放大器”。似乎這個(gè)名稱更為恰當(dāng)。因?yàn)橛幸环ND類放大器可以接收數(shù)字

15、輸入而省去D/A變換。D類放大器所采用的技術(shù)其實(shí)就是脈寬調(diào)制技術(shù)PWM(PulseWidthModulation)。所謂脈寬調(diào)制技術(shù)也就是把模擬音頻信號(hào)的幅度來調(diào)制一系列矩形脈沖的寬度。這樣,一個(gè)模擬音頻信號(hào)就變成了一系列寬度受到調(diào)制的等幅脈沖信號(hào)。為什么要這樣做呢?因?yàn)檫@時(shí)候,要把信號(hào)放大,只要對(duì)這系列的脈沖信號(hào)放放大就可以了。而原來的模擬信號(hào)并不是包含在這個(gè)脈沖信號(hào)的幅度之中,而是包含在它的寬度之中。只要把這個(gè)放大以后的脈寬調(diào)制信號(hào)中所包含的低頻分量濾出來就可以得到放大以后的音頻信號(hào)。在沒有信號(hào)的時(shí)候,輸入信號(hào)就是對(duì)稱方波。所以如果在放大的時(shí)候,幅度上產(chǎn)生失真并不會(huì)使原來的音頻信號(hào)產(chǎn)生失真

16、。在這種情況下的放大器就可以完全工作在開關(guān)狀態(tài)。在開關(guān)工作狀態(tài),晶體管的效率是很高的。因?yàn)樵谕耆珜?dǎo)通的時(shí)候晶體管的電流很大但是壓降很小(由其飽和電阻決定),而在截止的時(shí)候,加在晶體管的電壓很高,但是流過晶體管的電流很小(只是其漏電流而已)。同時(shí)還可以使晶體管在沒有音頻信號(hào)時(shí)完全工作在截止?fàn)顟B(tài),這樣其效率就更高。這種脈寬調(diào)制可以用一個(gè)等幅三角波來對(duì)音頻信號(hào)進(jìn)行采樣。為了避免失真這個(gè)三角波的頻率必須遠(yuǎn)高于音頻信號(hào)的最高頻率分量。現(xiàn)在的音頻功率放大器主要有電子管式功率放大器、晶體管式功率放大器和集成電路功率放大器等三種。目前,以晶體管或集成電路式功率放大器為主。電子管式功率放大器的生產(chǎn)工藝相當(dāng)成熟,

17、產(chǎn)品的穩(wěn)定性很高,離散度極小。它的動(dòng)態(tài)范圍比較大,過負(fù)載能力強(qiáng),不容易發(fā)生飽和削波失真;電路的負(fù)反饋深度較淺,也不容易發(fā)生瞬態(tài)互調(diào)失真。這些使電子管功放音色純美動(dòng)聽。集成電路功放隨著集成電路技術(shù)的發(fā)展而大量涌現(xiàn)出來,它的突出優(yōu)點(diǎn)是體積小、電路簡單、性能優(yōu)越和保護(hù)功能齊全等。晶體管功放是應(yīng)用最廣泛的形式,它的諧波失真已經(jīng)減少到0.5以下。場效應(yīng)管是一種很有潛力的功率放大器件,它是一種噪音小、動(dòng)態(tài)范圍大的電壓控制器件。另外它還具有負(fù)溫度特性,音色和電子管機(jī)相似,保護(hù)電路簡單。第二章D類功率放大器的設(shè)計(jì)設(shè)計(jì)方案一:2.1工作原理D類功放設(shè)計(jì)考慮的角度與AB類功放完全不同。此時(shí)功放管的線性已沒有太大意

18、義,更重要的開關(guān)響應(yīng)和飽和壓降。由于功放管處理的脈沖頻率是音頻信號(hào)的幾十倍,且要求保持良好的脈沖前后沿,所以管子的開關(guān)響應(yīng)要好。另外,整機(jī)的效率全在于管子飽和壓降引起的管耗。所以,飽和管壓降小不但效率高,功放管的散熱結(jié)構(gòu)也能得到簡化。若干年前,這種高頻大功率管的價(jià)格昂貴,在一定程度上限制了D類功放的發(fā)展?,F(xiàn)在小電流控制大電流的MOSFET已普遍運(yùn)用于工業(yè)領(lǐng)域,特別是近年來UHCMOSFET已在Hi-Fi功放上應(yīng)用,器件的障礙已經(jīng)消除。調(diào)制電路也是D類功放的一個(gè)特殊環(huán)節(jié)。要把20KHz以下的音頻調(diào)制成PWM信號(hào),三角波的頻率至少要達(dá)到200KHz。頻率過低達(dá)到同樣要求的THD標(biāo)準(zhǔn),對(duì)無源LC低通

19、濾波器的元件要求就高,結(jié)構(gòu)復(fù)雜。頻率高,輸出波形的鋸齒小,更加接近原波形,THD小,而且可以用低數(shù)值、小體積和精度要求相對(duì)差一些的電感和電容來制成濾波器,造價(jià)相應(yīng)降低。但此時(shí)晶體管的開關(guān)損耗會(huì)隨頻率上升而上升,無源器件中的高頻損耗、射頻的取膚效應(yīng)都會(huì)使整機(jī)效率下降。更高的調(diào)制頻率還會(huì)出現(xiàn)射頻干擾,所以調(diào)制頻率也不能高于1MHz。同時(shí),三角波形的形狀、頻率的準(zhǔn)確性和時(shí)鐘信號(hào)的抖晃都會(huì)影響到以后復(fù)原的信號(hào)與原信號(hào)不同而產(chǎn)生失真。所以要實(shí)現(xiàn)高保真,出現(xiàn)了很多與數(shù)字音響保真相同的考慮。還有一個(gè)與音質(zhì)有很大關(guān)系的因數(shù)就是位于驅(qū)動(dòng)輸出與負(fù)載之間的無源濾波器。該低通濾波器工作在大電流下,負(fù)載就是音箱。嚴(yán)格地

20、講,設(shè)計(jì)時(shí)應(yīng)把音箱阻抗的變化一起考慮進(jìn)去,但作為一個(gè)功放產(chǎn)品指定音箱是行不通的,所以D類功放與音箱的搭配中更有發(fā)燒友馳騁的天地。實(shí)際證明,當(dāng)失真要求在0.5%以下時(shí),用二階Butterworth最平坦響應(yīng)低通濾波器就能達(dá)到要求。如要求更高則需用四階濾波器,這時(shí)成本和匹配等問題都必須加以考慮。D類功放設(shè)計(jì)考慮的角度與AB類功放完全不同。此時(shí)功放管的線性已沒有太大意義,更重要的開關(guān)響應(yīng)和飽和壓降。由于功放管處理的脈沖頻率是音頻信號(hào)的幾十倍,且要求保持良好的脈沖前后沿,所以管子的開關(guān)響應(yīng)要好。另外,整機(jī)的效率全在于管子飽和壓降引起的管耗。所以,飽和管壓降小不但效率高,功放管的散熱結(jié)構(gòu)也能得到簡化。若

21、干年前,這種高頻大功率管的價(jià)格昂貴,在一定程度上限制了D類功放的發(fā)展。現(xiàn)在小電流控制大電流的MOSFET已普遍運(yùn)用于工業(yè)領(lǐng)域,特別是近年來UHCMOSFET已在Hi-Fi功放上應(yīng)用,器件的障礙已經(jīng)消除。調(diào)制電路也是D類功放的一個(gè)特殊環(huán)節(jié)。要把20KHz以下的音頻調(diào)制成PWM信號(hào),三角波的頻率至少要達(dá)到200KHz。頻率過低達(dá)到同樣要求的THD標(biāo)準(zhǔn),對(duì)無源LC低通濾波器的元件要求就高,結(jié)構(gòu)復(fù)雜。頻率高,輸出波形的鋸齒小,更加接近原波形,THD小,而且可以用低數(shù)值、小體積和精度要求相對(duì)差一些的電感和電容來制成濾波器,造價(jià)相應(yīng)降低。但此時(shí)晶體管的開關(guān)損耗會(huì)隨頻率上升而上升,無源器件中的高頻損耗、射頻

22、的取膚效應(yīng)都會(huì)使整機(jī)效率下降。更高的調(diào)制頻率還會(huì)出現(xiàn)射頻干擾,所以調(diào)制頻率也不能高于1MHz。同時(shí),三角波形的形狀、頻率的準(zhǔn)確性和時(shí)鐘信號(hào)的抖晃都會(huì)影響到以后復(fù)原的信號(hào)與原信號(hào)不同而產(chǎn)生失真。所以要實(shí)現(xiàn)高保真,出現(xiàn)了很多與數(shù)字音響保真相同的考慮。還有一個(gè)與音質(zhì)有很大關(guān)系的因數(shù)就是位于驅(qū)動(dòng)輸出與負(fù)載之間的無源濾波器。該低通濾波器工作在大電流下,負(fù)載就是音箱。嚴(yán)格地講,設(shè)計(jì)時(shí)應(yīng)把音箱阻抗的變化一起考慮進(jìn)去,但作為一個(gè)功放產(chǎn)品指定音箱是行不通的。實(shí)際證明,當(dāng)失真要求在0.5%以下時(shí),用二階Butterworth最平坦響應(yīng)低通濾波器就能達(dá)到要求。如要求更高則需用四階濾波器,這時(shí)成本和匹配等問題都必須加

23、以考慮。2.11整體框圖D類功放采用脈沖寬度調(diào)制(PWM)系統(tǒng)和開關(guān)電源供電。音頻信號(hào)全部信息被調(diào)制在PWM信號(hào)的寬度變化中,功率管工作在飽和、截止兩種狀態(tài),失真小,效率高。其工作原理是將模擬音頻信號(hào)經(jīng)PWM設(shè)備調(diào)制成數(shù)字信號(hào);然后高效功率放大、低頻濾波;解調(diào)信號(hào)后,驅(qū)動(dòng)揚(yáng)聲器。為適應(yīng)數(shù)字聲源直接輸出的脈沖編碼調(diào)制(PCM)輸入,一般機(jī)內(nèi)還設(shè)置一個(gè)PCM/PWM兩種脈沖編程調(diào)制的轉(zhuǎn)換裝置。本文介紹的D類功放其原理框圖如圖1所示,包括兩部分。第一部分是脈寬調(diào)制部分,輸入的模擬信號(hào)經(jīng)電壓放大后,與固定頻率的三角波相比較,比較器輸出寬度被調(diào)制的高、低電平。第二部分是功率放大,PWM信號(hào)控制H橋功率管

24、的通/斷,使電能驅(qū)動(dòng)揚(yáng)聲器,產(chǎn)生聲音。圖1中的電壓放大電路可簡單地通過運(yùn)放來實(shí)現(xiàn),本文不詳細(xì)討論,下面主要介紹三角波發(fā)生器、比較器、H橋等電路。2.12三角波發(fā)生器的設(shè)計(jì)三角波的作用是用來調(diào)制音頻信號(hào),對(duì)此有兩方面的要求。其一,調(diào)制后的信號(hào)可以被完整地恢復(fù)。根據(jù)Nyquist采樣定理,三角波的頻率至少是音頻信號(hào)最高頻率的兩倍,人類聽到的聲頻范圍是20Hz20kHz,說明三角波的頻率應(yīng)在40kHz以上,為確保音頻信號(hào)的采樣,可取三角波的頻率為65kHz;其二,三角波要有穩(wěn)定的頻率和幅度,否則,調(diào)制后的脈寬會(huì)產(chǎn)生變形,從而降低音頻輸出的信噪比,音質(zhì)變差,噪聲增大。在高頻的情況下,產(chǎn)生頻率、幅度穩(wěn)定

25、的三角波,對(duì)一般的波形發(fā)生器來說很難實(shí)現(xiàn),本設(shè)計(jì)選用了臺(tái)灣凌陽公司的unsp061單片機(jī),這種單片機(jī)的片內(nèi)有兩路DAC,可用其中的一路與圖2所示的電路直接相連。產(chǎn)生穩(wěn)定頻率的三角波。圖2電壓轉(zhuǎn)換電路圖3比較器、Schmitt觸發(fā)器unsp061單片機(jī)輸出三角波的軟件編程可通過循環(huán)語句(或者采用查表法)實(shí)現(xiàn),但DAC輸出的是電流信號(hào),必須用圖2所示的同相比例運(yùn)算電路實(shí)現(xiàn)電壓轉(zhuǎn)換。其中Rf可調(diào),以保證三角波的電壓變化范圍大于放大后信號(hào)的電壓變化范圍。2.13比較器的設(shè)計(jì)比較器是帶一個(gè)鎖相環(huán)的脈寬調(diào)制電路,把三角波與音頻信號(hào)比較,實(shí)現(xiàn)兩者的調(diào)制,電路如圖3所示。調(diào)制后的電路與H橋的門控電路相連,地線

26、被連接到公共地端。為了簡單,音頻信號(hào)以單一正弦信號(hào)u2Usinwt為例來說明PWM信號(hào)。如圖4所示,當(dāng)音頻信號(hào)大于三角波信號(hào)時(shí),比較器輸出高電平,當(dāng)音頻信號(hào)小于三角波信號(hào)時(shí),比較器輸出低電平。值得注意的是:音頻信號(hào)的幅值不允許大于三角波信號(hào)的幅值,否則,結(jié)果是一個(gè)錯(cuò)誤的PWM信號(hào)。如圖4所示,PWM信號(hào)是一個(gè)數(shù)字脈沖信號(hào),其脈寬的變化反映信號(hào)的全部信息,在正弦波的正半周時(shí),正脈沖寬,負(fù)脈沖窄;反之,正脈沖窄,負(fù)脈沖寬;當(dāng)正負(fù)脈沖等寬時(shí),輸入為0。脈沖信號(hào)的高、低電平控制H橋兩組功率管的通/斷,高/低兩值之間的轉(zhuǎn)換快慢決定兩組功率管之間通/斷的轉(zhuǎn)換時(shí)間,所以,要求PWM信號(hào)高/低電平之間的轉(zhuǎn)換時(shí)

27、間要短,否則,輸出到揚(yáng)聲器的信號(hào)會(huì)出現(xiàn)明顯的交越失真,降低音頻信號(hào)的失真度。比較器的實(shí)際輸出如圖5所示,很顯然不符合要求。為了縮短高/低電平的轉(zhuǎn)換時(shí)間,在設(shè)計(jì)中,采用三個(gè)MM74C14Schmitt觸發(fā)器來調(diào)整比較器輸出的波形。MM74C14的特點(diǎn)是磁滯現(xiàn)象和快速的轉(zhuǎn)換特性。磁滯現(xiàn)象可使電路抗干擾能力增強(qiáng);快速轉(zhuǎn)換特性可使輸出波形得到明顯的改善,改善后的波形如圖6所示。如果只采用一片MM74C14,片中的電流大,芯片溫度容易升高,因此,采用三片MM74C14,以減少每個(gè)觸發(fā)器的電流,減少能量消耗,降低運(yùn)行時(shí)的溫度。2.14H橋與濾波電路H橋是由四個(gè)MOSFET功率管構(gòu)成,功率管的通/斷由MM7

28、4C14輸出的PWM信號(hào)控制,從而控制通過揚(yáng)聲器電流的大小和方向,其原理圖如圖7所示。其中A、B是兩組、共四個(gè)高頻MOSFET功率管,R、L是揚(yáng)聲器的等效電路,與電容C并聯(lián)構(gòu)成低通濾波。當(dāng)PWM信號(hào)為高電平時(shí),A1、A2導(dǎo)通,B1、B2截止,電流從揚(yáng)聲器的正極流向負(fù)極;當(dāng)PWM信號(hào)為低電平時(shí),A1、A2截止,B1、B2導(dǎo)通,電流從揚(yáng)聲器的負(fù)極流向正極。功率管開關(guān)的頻率等于PWM信號(hào)的頻率。整個(gè)H橋和濾波電路運(yùn)行在大電壓、大電流、大功率的環(huán)境下,與第一部分電路的電源無關(guān)。在設(shè)計(jì)中選用LMD18201作為H橋,如圖8所示這種芯片附有一個(gè)散熱片,即用來散熱,也充當(dāng)功率地;為了降低噪聲,信號(hào)地和功率地

29、都通過短且粗的導(dǎo)線連到散熱片。其中C1是Ta電容,以確保開關(guān)時(shí)有足夠的電流供給H橋;C2、C3是解耦電容,用來提高功率管的開關(guān)速度。H橋輸出給揚(yáng)聲器的方波是PWM信號(hào)的放大,經(jīng)揚(yáng)聲器的濾波電路消除聲頻以上的高頻信號(hào),還原音頻信號(hào),驅(qū)動(dòng)揚(yáng)聲器。在整個(gè)電路中用到三種電壓:unsp061單片機(jī)的電壓是5V;音頻信號(hào)的放大電路、比較器采用10V的雙電源;H橋的電壓是24V。若采用常規(guī)直流穩(wěn)壓電源的設(shè)計(jì),電路復(fù)雜、效率低,體積、重量大。由此,筆者采用開關(guān)電源,先把220V的交流電整流為高壓直流電,然后經(jīng)開關(guān)電路的精確控制分割,產(chǎn)生一種高壓、高頻的方波,最后經(jīng)小型變壓器變壓、整流、濾波后輸出所需直流電。因

30、變壓器線圈的匝數(shù)與頻率成反比,頻率越高,匝數(shù)越少,這樣可以減少電源的重量和體積。在高頻下,用型濾波可把方波轉(zhuǎn)換為直流電,省略低效率的線性穩(wěn)壓電路,提高電源的效率。2.2效率分析的數(shù)學(xué)模型第一部分電路運(yùn)行在小功率的情況下,效率的高低無關(guān)重要,提高效率主要是第二部分電路,H橋的四個(gè)功率管總是工作在飽和/截止兩種狀態(tài),在截止?fàn)顟B(tài)時(shí),無電流,無能量消耗;在飽和狀態(tài)時(shí),內(nèi)阻很小,等效電路如圖9所示。其中RS是功率管的等效電阻,C是很小的濾波電容,R、L是揚(yáng)聲器的等效電路。為簡便起見,設(shè)音頻信號(hào)是單一正弦波:其頻率是f,周期是T,設(shè)該信號(hào)被頻率是nf的三角波所調(diào)制(n2),則PWM信號(hào)是頻率為nf的方波,

31、設(shè)一個(gè)周期內(nèi)高電平的占空因數(shù)為ai(ai1),則低電平的占空因數(shù)是1ai,負(fù)載中電流的波形可近似為PWM波形的放大(L、C很小),其占空因數(shù)相同,設(shè)其幅度為IO,則每一個(gè)周期T/n中負(fù)載的平均電流是:電源提供的功率是:式中:EC為電源電壓負(fù)載消耗的功率:式中:UCES為功率管內(nèi)阻上的壓降。電路的效率:由上式可知,功率管的飽和壓降越小,效率越高;提高電源電壓,可明顯提高輸出功率,也可提高效率,但處于安全考慮,只能適度。另外,由于開關(guān)信號(hào)和電磁干擾信號(hào)都要消耗一部分能量,所以實(shí)際值會(huì)略小于理論值。2.3注意事項(xiàng)D類功放采用脈寬調(diào)制方式,效率高,但設(shè)計(jì)者一般認(rèn)為其音質(zhì)不夠理想。筆者認(rèn)為,這并非理論上

32、的問題,原因是制作不精細(xì),對(duì)以下幾方面考慮不周。(1)采用LC振蕩器產(chǎn)生的三角波,其幅度、頻率都不穩(wěn)定,甚至扭曲、變形。用來調(diào)制信號(hào),使脈寬的變化不能真實(shí)反映信號(hào)的信息,引起輸出噪聲,甚至變聲。(2)比較器輸出的脈沖不理想,高低電平之間的轉(zhuǎn)換時(shí)間太長,使H橋輸出的波形產(chǎn)生較大的交越失真,導(dǎo)致音質(zhì)生硬,不夠圓潤。(3)高頻率MOSFET功率管的飽和/截止工作狀態(tài)之間的轉(zhuǎn)換要快,這樣可避免兩組功率管同時(shí)導(dǎo)通或截止。(4)H橋電路要進(jìn)行有效的屏蔽,以免MOSFET功率管工作在大功率、高頻率時(shí),對(duì)外輻射電磁波,干擾音頻信號(hào)。(5)可采用負(fù)反饋或局部負(fù)反饋來提高保真度。設(shè)計(jì)方案二.2.21設(shè)計(jì)條件設(shè)計(jì)一

33、款額定輸出功率為1020W的低失真集成電路功率放大器,要求電路簡潔,制作方便、性能可靠。性能主要指標(biāo):輸出功率:1020W(額定功率);頻率響應(yīng):20Hz100kHz(3dB)諧波失真:1(10W,30Hz20kHz);輸出阻抗:0.16;輸入靈敏度:600mV(1000Hz,額定輸出時(shí))2.22設(shè)計(jì)內(nèi)容功率放大器的作用是給某些電子設(shè)備中換能器提供一定的輸出功率。當(dāng)負(fù)載一定時(shí),希望輸出的功率盡可能大,輸出信號(hào)的非線性矢量盡可能小,效量盡可能高。功率放大器作為放大電路的輸出級(jí),具有一下幾個(gè)特點(diǎn):1由于功率放大器的主要任務(wù)是向負(fù)載提供一定的功率,因而輸出電壓和電流的幅度足夠大;2由于輸出信號(hào)幅度較

34、大,使三極管工作在飽和區(qū)與截止區(qū)的邊沿,因此輸出信號(hào)存在一定程度的失真;3根據(jù)具體電路圖計(jì)算電路參數(shù)4選取元件、識(shí)別和測(cè)試。包括各類電阻、電容、變壓器的數(shù)值、質(zhì)量、電器性能的準(zhǔn)確判斷、解決大功率放大器散熱的問題。5了解有關(guān)集成電路特點(diǎn)和性能資料情況2.23雙電源供電BTL音頻功率放大器工作原理用兩塊TDA2030組成如圖1所示的BTL功放電路,TDA2030(1)為同相放大器,輸入信號(hào)Vin通過交流耦合電容C1饋入同相輸入端腳,交流閉環(huán)增益為KVC1R3/R2R3/R230dB。R3同時(shí)又使電路構(gòu)成直流全閉環(huán)組態(tài),確保電路直流工作點(diǎn)穩(wěn)定。TAD2030(2)為反相放大器,它的輸入信號(hào)是由TDA

35、2030(1)輸出端的U01經(jīng)R5、R7分壓器衰減后取得的,并經(jīng)電容C6后饋給反相輸入端腳,它的交流閉環(huán)增益KVCR9/R7/R5R9/R730dB。由R9R5,所以TDA2030(1)與TDA2030(2)的兩個(gè)輸出信號(hào)U01和U02應(yīng)該是幅度相等相位相反的,即:U01UinR3/R2U02U01R9/R5R9R5U02U01因此在揚(yáng)聲器上得到的交流電壓應(yīng)為:UYU01-(-U02)2U012U02揚(yáng)聲器得到的功率PY按下式計(jì)算:PY4PMONOBTL功放電路能把單路功放的輸出功率(PMONO)擴(kuò)展4倍,但實(shí)際上卻受到集成電路本身功耗和最大輸出電流的限制,該電路若在VS14V工作時(shí),PO28

36、W。若在VS16V或18V(TDA2030A)工作時(shí),輸出功率會(huì)增加,但調(diào)試中應(yīng)密切注視兩塊電路輸出端(腳)的直流電平,它們對(duì)地的電平都近似為零,為了保護(hù)揚(yáng)聲器不被燒壞,通常要在揚(yáng)聲器回路中串聯(lián)快速熔斷絲。BTL電路元件清單(單聲道)電容:1F122F20.22F22200F20.1F2電阻:22K5680211W2二極管:1N400141N40044電位器:22K2.24、功率放大電路的測(cè)試基本內(nèi)容注意:將輸入電位器調(diào)到最大輸入的情況。1測(cè)量輸出電壓放大倍數(shù)Au測(cè)試條件:直流電源電壓14v,輸入信號(hào)1KH70mv(振幅值100mv),輸出負(fù)載電阻分別為4和8。2測(cè)量允許的最大輸入信號(hào)(1KH

37、)和最大不失真輸出功率測(cè)試條件:直流電源電壓14v,負(fù)載電阻分別為4和8。直流電源電壓10v,負(fù)載電阻為8。3測(cè)量上、下限截止頻率fH和fL測(cè)試條件:直流電源電壓14v,輸入信號(hào)70mv(振幅值100mv),改變輸入信號(hào)頻率、負(fù)載電阻為8。2.25.TDA2030簡介:TDA2030是一塊性能十分優(yōu)良的功率放大集成電路,其主要特點(diǎn)是上升速率高、瞬態(tài)互調(diào)失真小,在目前流行的數(shù)十種功率放大集成電路中,規(guī)定瞬態(tài)互調(diào)失真指標(biāo)的僅有包括TDA2030在內(nèi)的幾種。我們知道,瞬態(tài)互調(diào)失真是決定放大器品質(zhì)的重要因素,該集成功放的一個(gè)重要優(yōu)點(diǎn)。TDA2030集成電路的另一特點(diǎn)是輸出功率大,而保護(hù)性能以較完善。根

38、據(jù)掌握的資料,在各國生產(chǎn)的單片集成電路中,輸出功率最大的不過20W,而TDA2030的輸出功率卻能達(dá)18W,若使用兩塊電路組成BTL電路,輸出功率可增至35W。另一方面,大功率集成塊由于所用電源電壓高、輸出電流大,在使用中稍有不慎往往致使損壞。然而在TDA2030集成電路中,設(shè)計(jì)了較為完善的保護(hù)電路,一旦輸出電流過大或管殼過熱,集成塊能自動(dòng)地減流或截止,使自己得到保護(hù)(當(dāng)然這保護(hù)是有條件的,我們決不能因?yàn)橛斜Wo(hù)功能而不適當(dāng)?shù)剡M(jìn)行使用)。TDA2030集成電路的第三個(gè)特點(diǎn)是外圍電路簡單,使用方便。在現(xiàn)有的各種功率集成電路中,它的管腳屬于最少的一類,總共才5端,外型如同塑封大功率管,這就給使用帶來

39、不少方便。TDA2030在電源電壓14V,負(fù)載電阻為4時(shí)輸出14瓦功率(失真度05);在電源電壓16V,負(fù)載電阻為4時(shí)輸出18瓦功率(失真度05)。該電路由于價(jià)廉質(zhì)優(yōu),使用方便,并正在越來越廣泛地應(yīng)用于各種款式收錄機(jī)和高保真立體聲設(shè)備中。該電路可供低頻課程設(shè)計(jì)選用。第三章電路板制作、調(diào)試及注意問題3.1電路板的制作過程電路板設(shè)計(jì)的第一步是進(jìn)行原理圖的設(shè)計(jì),為了形成一個(gè)完整的設(shè)計(jì)理念。有必要熟悉設(shè)計(jì)電路板的基本過程。一般來講電路板設(shè)計(jì)的基本過程可分為3個(gè)步驟:電路原理圖設(shè)計(jì),網(wǎng)絡(luò)表的生成,PCB設(shè)計(jì)。由于此圖較簡單,在實(shí)驗(yàn)室我們能很快制作出電路板,下面我將制作電路板的詳細(xì)過程列舉出來:第1步:利

40、用一個(gè)能生成圖像的軟件生成一些圖像文件,比如用低版本的PROTEL組織SCH,再利用網(wǎng)絡(luò)表生成相應(yīng)PCB圖(不會(huì)PROTEL的話,甚至是WINDOWS的畫筆程序也行),以備打印。第2步:將PCB圖打印到熱轉(zhuǎn)印紙上第3步:將打印好PCB的轉(zhuǎn)印紙平鋪在覆銅板上,準(zhǔn)備轉(zhuǎn)印。第4步:用熱轉(zhuǎn)印機(jī)加溫(要很熱)將轉(zhuǎn)印紙上黑色塑料粉壓在覆銅板上形成高精度的抗腐層。第5步:轉(zhuǎn)印機(jī)加溫加壓成功轉(zhuǎn)印后的效果!若你經(jīng)常搞,熟練了,很容易成功。第6步:準(zhǔn)備好三氯化鐵溶液進(jìn)行腐蝕。第7步:注意不要腐蝕過度,腐蝕結(jié)束,準(zhǔn)備焊接。第8步:清理出焊盤部分,剩下的部分用于阻焊。第9步:安裝所需預(yù)定原件并焊接好。第10步:測(cè)試以

41、驗(yàn)證其正確性。通過上面的方法能容易的得到我們所需要的電路板,但是焊接完的電路成品的效果好壞取決于電路原理圖設(shè)計(jì)的好壞以及制作出的電路板的好壞。3.11原理圖的繪制1、啟動(dòng)Protel99SE后,新建設(shè)計(jì)項(xiàng)目文件是設(shè)計(jì)圖紙的第一步操作,然后建立原理圖文件。2、圖紙頁面設(shè)計(jì)是繪圖前的準(zhǔn)備工作,頁面設(shè)計(jì)主要包括調(diào)入元件庫,放置原件和調(diào)整元件位置。從元件庫中找到元件進(jìn)行放置是繪圖最基本的前期工作。3、整體布局,要注意電路設(shè)計(jì)的規(guī)則,一般來說,從信號(hào)進(jìn)入開始,電源在上地線在下且與電源平行,左端是輸入端,右邊是輸入端,按信號(hào)流向擺放元件。4、進(jìn)線和編輯是繪圖的主要操作,包括放置導(dǎo)線,放置電源和地線,放置端

42、口及網(wǎng)絡(luò)接口,修改元件屬性統(tǒng)一序號(hào)。5、加入必要的文本注釋,增強(qiáng)圖紙的可讀性,最后進(jìn)行ERC電氣規(guī)則檢查,為PCB制作提供準(zhǔn)備。綜合以上討論,利用protel99軟件畫出雙電源音頻功率放大器原理圖:3.12PCB的繪制一、畫出自己定義的非標(biāo)準(zhǔn)器件的封裝庫,將自己所畫的器件都放入一個(gè)自己建立的PCB庫專用設(shè)計(jì)文件。二、設(shè)置PCB設(shè)計(jì)環(huán)境1、包括設(shè)置格點(diǎn)大小和類型,光標(biāo)類型,版層參數(shù),布線參數(shù)等等。大多數(shù)參數(shù)都可以用系統(tǒng)默認(rèn)值,而且這些參數(shù)經(jīng)過設(shè)置之后,符合個(gè)人的習(xí)慣,以后無須再去修改。2、規(guī)劃電路版,主要是確定電路版的邊框,包括電路版的尺寸大小等等。在需要放置固定孔的地方放上適當(dāng)大小的焊盤。注意

43、-在繪制電路版地邊框前,一定要將當(dāng)前層設(shè)置成KeepOut層,即禁止布線層。3、打開所有要用到的PCB庫文件后,調(diào)入網(wǎng)絡(luò)表文件和修改零件封裝。這一步是非常重要的一個(gè)環(huán)節(jié),網(wǎng)絡(luò)表是PCB自動(dòng)布線的靈魂,也是原理圖設(shè)計(jì)與印象電路版設(shè)計(jì)的接口,只有將網(wǎng)絡(luò)表裝入后,才能進(jìn)行電路版的布線。在原理圖設(shè)計(jì)的過程中,ERC檢查不會(huì)涉及到零件的封裝問題。因此,原理圖設(shè)計(jì)時(shí),零件的封裝可能被遺忘,在引進(jìn)網(wǎng)絡(luò)表時(shí)可以根據(jù)設(shè)計(jì)情況來修改或補(bǔ)充零件的封裝。當(dāng)然,可以直接在PCB內(nèi)人工生成網(wǎng)絡(luò)表,并且指定零件封裝。三、布置零件封裝的位置,也稱零件布局。Protel99可以進(jìn)行自動(dòng)布局,也可以進(jìn)行手動(dòng)布局。如果進(jìn)行自動(dòng)布局

44、,運(yùn)行Tools下面的AutoPlace,用這個(gè)命令,你需要有足夠的耐心。布線的關(guān)鍵是布局,多數(shù)設(shè)計(jì)者采用手動(dòng)布局的形式。用鼠標(biāo)選中一個(gè)元件,按住鼠標(biāo)左鍵不放,拖住這個(gè)元件到達(dá)目的地,放開左鍵,將該元件固定。Protel99在布局方面新增加了一些技巧。新的交互式布局選項(xiàng)包含自動(dòng)選擇和自動(dòng)對(duì)齊。使用自動(dòng)選擇方式可以很快地收集相似封裝的元件,然后旋轉(zhuǎn)、展開和整理成組,就可以移動(dòng)到板上所需位置上了。當(dāng)簡易的布局完成后,使用自動(dòng)對(duì)齊方式整齊地展開或縮緊一組封裝相似的元件。提示-在自動(dòng)選擇時(shí),使用ShiftX或Y和CtrlX或Y可展開和縮緊選定組件的X、Y方向。注意-零件布局,應(yīng)當(dāng)從機(jī)械結(jié)構(gòu)散熱、電磁干

45、擾、將來布線的方便性等方面綜合考慮。先布置與機(jī)械尺寸有關(guān)的器件,并鎖定這些器件,然后是大的占位置的器件和電路的核心元件,再是外圍的小元件。四、布線規(guī)則設(shè)置,布線規(guī)則是設(shè)置布線的各個(gè)規(guī)范(象使用層面、各組線寬、過孔間距、布線的拓樸結(jié)構(gòu)等部分規(guī)則,可通過Design-Rules的Menu處從其它板導(dǎo)出后,再導(dǎo)入這塊板)這個(gè)步驟不必每次都要設(shè)置,按個(gè)人的習(xí)慣,設(shè)定一次就可以。在電路原理圖的基礎(chǔ)上,繪制PCB圖如下:3.13PCB設(shè)計(jì)中應(yīng)注意的問題1布線方向:從焊接面看,元件的排列方位盡可能保持與原理圖相一致,布線方向最好與電路圖走線方向相一致,因生產(chǎn)過程中通常需要在焊接面進(jìn)行各種參數(shù)的檢測(cè),故這樣做便于生產(chǎn)中的檢查,調(diào)試及檢修(注:指在滿足電路性能及整機(jī)安裝與面板布局要求的前提下)。2各元件排列

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論