基本觸發(fā)器81_第1頁
基本觸發(fā)器81_第2頁
基本觸發(fā)器81_第3頁
基本觸發(fā)器81_第4頁
基本觸發(fā)器81_第5頁
已閱讀5頁,還剩33頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第五章 觸發(fā)器5.1 基本觸發(fā)器 一、基本RS觸發(fā)器 1用與非門組成的基本RS觸發(fā)器 (1)電路結(jié)構(gòu):由門電路組成的,它與組合邏輯電路的根本區(qū)別在于,電路中有反饋線,即門電路的輸入、輸出端交叉耦合。1觸發(fā)器有兩個(gè)互補(bǔ)的輸出端,(2)邏輯功能2(3)波形分析例5.1.1 在用與非門組成的基本RS觸發(fā)器中,設(shè)初始狀態(tài)為0,已知輸入R、S的波形圖,畫出兩輸出端的波形圖。解:由表5.1.1知,當(dāng)R、S都為高電平時(shí),觸發(fā)器保持原狀態(tài)不變;當(dāng)S 變低電平時(shí),觸發(fā)器翻轉(zhuǎn)為1狀態(tài);當(dāng)R 變低電平時(shí),觸發(fā)器翻轉(zhuǎn)為0狀態(tài);不允許R、S同時(shí)為低電平。32用或非門組成的基本RS觸發(fā)器這種觸發(fā)器的觸發(fā)信號(hào)是高電平有效,

2、因此在邏輯符號(hào)的輸入端處沒有小圓圈。4波形分析:5基本觸發(fā)器的特點(diǎn)總結(jié):(1)有兩個(gè)互補(bǔ)的輸出端,有兩個(gè)穩(wěn)定的狀態(tài)。(2)有復(fù)位(Q=0)、置位(Q=1)、保持原狀態(tài)三種功能。(3)R為復(fù)位輸入端,S為置位輸入端,可以是低電平有效,也可以是高電平有效,取決于觸發(fā)器的結(jié)構(gòu)。(4)由于反饋線的存在,無論是復(fù)位還是置位,有效信號(hào)只需要作用很短的一段時(shí)間,即“一觸即發(fā)”。6二、 同步RS觸發(fā)器給觸發(fā)器加一個(gè)時(shí)鐘控制端CP,只有在CP端上出現(xiàn)時(shí)鐘脈沖時(shí),觸發(fā)器的狀態(tài)才能變化。這種觸發(fā)器稱為同步觸發(fā)器。1同步RS觸發(fā)器的電路結(jié)構(gòu)72邏輯功能當(dāng)CP0時(shí),控制門G3、G4關(guān)閉,觸發(fā)器的狀態(tài)保持不變。當(dāng)CP1時(shí)

3、,G3、G4打開,其輸出狀態(tài)由R、S端的輸入信號(hào)決定。同步RS觸發(fā)器的狀態(tài)轉(zhuǎn)換分別由R、S和CP控制,其中,R、S控制狀態(tài)轉(zhuǎn)換的方向;CP控制狀態(tài)轉(zhuǎn)換的時(shí)刻。83觸發(fā)器功能的幾種表示方法觸發(fā)器的功能除了可以用功能表表示外,還有幾種表示方法: (1)特性方程由功能表畫出卡諾圖得特性方程:9(2)狀態(tài)轉(zhuǎn)換圖狀態(tài)轉(zhuǎn)換圖表示觸發(fā)器從一個(gè)狀態(tài)變化到另一個(gè)狀態(tài)或保持原狀不變時(shí),對(duì)輸入信號(hào)的要求。10 (3)驅(qū)動(dòng)表 驅(qū)動(dòng)表是用表格的方式表示觸發(fā)器從一個(gè)狀態(tài)變化到另一個(gè)狀態(tài)或保持原狀態(tài)不變時(shí),對(duì)輸入信號(hào)的要求。11 (4)波形圖 觸發(fā)器的功能也可以用輸入輸出波形圖直觀地表示出來。12 4同步觸發(fā)器存在的問題空

4、翻由于在CP=1期間,G3、G4門都是開著的,都能接收R、S信號(hào),所以,如果在CP=1期間R、S發(fā)生多次變化,則觸發(fā)器的狀態(tài)也可能發(fā)生多次翻轉(zhuǎn)。在一個(gè)時(shí)鐘脈沖周期中,觸發(fā)器發(fā)生多次翻轉(zhuǎn)的現(xiàn)象叫做空翻。135.2 主從觸發(fā)器由兩級(jí)同步RS觸發(fā)器串聯(lián)組成。G1G4組成從觸發(fā)器,G5G8組成主觸發(fā)器。CP 與CP互補(bǔ),使兩個(gè)觸發(fā)器工作在兩個(gè)不同的時(shí)區(qū)內(nèi)。一、 主從RS觸發(fā)器 1電路結(jié)構(gòu)142工作原理主從觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個(gè)節(jié)拍:(1)當(dāng)CP1時(shí),CP0,從觸發(fā)器被封鎖,保持原狀態(tài)不變:主觸發(fā)器工作,接收R和S端的輸入信號(hào)。(2)當(dāng)CP由1躍變到0時(shí),即CP=0、CP1。主觸發(fā)器被封鎖,輸入信號(hào)R

5、、S不再影響主觸發(fā)器的狀態(tài);從觸發(fā)器工作,接收主觸發(fā)器輸出端的狀態(tài)。 特點(diǎn):(1)主從觸發(fā)器的翻轉(zhuǎn)是在CP由1變0時(shí)刻(CP下降沿)發(fā)生的。(2)CP一旦變?yōu)?后,主觸發(fā)器被封鎖,其狀態(tài)不再受R、S影響,因此不會(huì)有空翻現(xiàn)象。15二、 主從JK觸發(fā)器主從RS觸發(fā)器的缺點(diǎn):使用時(shí)有約束條件RS=0。1電路結(jié)構(gòu) 為此,將觸發(fā)器的兩個(gè)互補(bǔ)的輸出端信號(hào)通過兩根反饋線分別引到輸入端的G7、G8門,這樣,就構(gòu)成了JK觸發(fā)器。162邏輯功能(1)功能表:(2)特性方程:17(3)狀態(tài)轉(zhuǎn)換圖(4)驅(qū)動(dòng)表18例5.2.1 已知主從JK觸發(fā)器J、K的波形如圖所示,畫出輸出Q的波形圖(設(shè)初始狀態(tài)為0)。在畫主從觸發(fā)器

6、的波形圖時(shí),應(yīng)注意以下兩點(diǎn):(1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在時(shí)鐘脈沖的觸發(fā)沿(這里是下降沿)(2)判斷觸發(fā)器次態(tài)的依據(jù)是時(shí)鐘脈沖下降沿前一瞬間輸入端的狀態(tài)。193主從T觸發(fā)器和T觸發(fā)器如果將JK觸發(fā)器的J和K相連作為T輸入端就構(gòu)成了T觸發(fā)器。T觸發(fā)器特性方程: 當(dāng)T觸發(fā)器的輸入控制端為T=1時(shí),稱為T觸發(fā)器。 T觸發(fā)器的特性方程為:204主從JK觸發(fā)器存在的問題一次變化現(xiàn)象例5.2.2 已知主從JK觸發(fā)器J、K的波形如圖所示,畫出輸出Q的波形圖(設(shè)初始狀態(tài)為0)。解:畫出輸出波形如圖示。由此看出,主從JK觸發(fā)器在CP=1期間,主觸發(fā)器只變化(翻轉(zhuǎn))一次,這種現(xiàn)象稱為一次變化現(xiàn)象。215.3 邊沿觸

7、發(fā)器一、維持阻塞邊沿D觸發(fā)器 1D觸發(fā)器的邏輯功能D觸發(fā)器只有一個(gè)觸發(fā)輸入端D,因此,邏輯關(guān)系非常簡單;D觸發(fā)器的特性方程為:Qn+1=D22D觸發(fā)器的狀態(tài)轉(zhuǎn)換圖:D觸發(fā)器的驅(qū)動(dòng)表:232維持阻塞邊沿D觸發(fā)器的結(jié)構(gòu)及工作原理(1)同步D觸發(fā)器:該電路滿足D觸發(fā)器的邏輯功能,但有同步觸發(fā)器的空翻現(xiàn)象。(2)維持阻塞邊沿D觸發(fā)器 為了克服空翻,并具有邊沿觸發(fā)器的特性,在原電路的基礎(chǔ)上引入三根反饋線L1、L2、L3。24例5.3.1 已知維持阻塞D觸發(fā)器的輸入波形,畫出輸出波形圖。解:在波形圖時(shí),應(yīng)注意以下兩點(diǎn):(1)觸發(fā)器的觸發(fā)翻轉(zhuǎn)發(fā)生在CP的上升沿。(2)判斷觸發(fā)器次態(tài)的依據(jù)是CP上升沿前一瞬間

8、輸入端D的狀態(tài)。根據(jù)D觸發(fā)器的功能表,可畫出輸出端Q的波形圖。25(3)觸發(fā)器的直接置0和置1端 RD直接置0端,低電平有效;SD直接置1端;低電平有效。RD和SD不受CP和D信號(hào)的影響,具有最高的優(yōu)先級(jí)。26二、CMOS主從結(jié)構(gòu)的邊沿觸發(fā)器1電路結(jié)構(gòu):由CMOS邏輯門和CMOS傳輸門組成主從D觸發(fā)器。由于引入了傳輸門,該電路雖為主從結(jié)構(gòu),卻沒有一次變化問題,具有邊沿觸發(fā)器的特性。272工作原理觸發(fā)器的觸發(fā)翻轉(zhuǎn)分為兩個(gè)節(jié)拍:(1)當(dāng)CP變?yōu)?時(shí),TG1開通,TG2關(guān)閉。主觸發(fā)器接收D信號(hào)。同時(shí),TG3關(guān)閉,TG4開通,從觸發(fā)器保持原狀態(tài)不變。(2)當(dāng)CP由1變?yōu)?時(shí),TG1關(guān)閉,TG2開通,主

9、觸發(fā)器自保持。同時(shí),TG3開通,TG4關(guān)閉,從觸發(fā)器接收主觸發(fā)器的狀態(tài)。3 具有直接置0端RD和直接置1端SD的CMOS邊沿D觸發(fā)器285.4 集成觸發(fā)器一、集成觸發(fā)器舉例1TTL主從JK觸發(fā)器74LS72特點(diǎn):(1)有3個(gè)J端和3個(gè)K端,它們之間是與邏輯關(guān)系。(2)帶有直接置0端RD和直接置1端SD,都為低電平有效,不用時(shí)應(yīng)接高電平。(3)為主從型結(jié)構(gòu),CP下跳沿觸發(fā)。292高速CMOS邊沿D觸發(fā)器74HC74 特點(diǎn):(1)單輸入端的雙D觸發(fā)器。(2)它們都帶有直接置0端RD和直接置1端SD,為低電平有效。(3)為CMOS邊沿觸發(fā)器,CP上升沿觸發(fā)。30二、觸發(fā)器功能的轉(zhuǎn)換1.用JK觸發(fā)器轉(zhuǎn)

10、換成其他功能的觸發(fā)器(1)JKD分別寫出JK觸發(fā)器和D觸發(fā)器的特性方程比較得:畫出邏輯圖:31(2)JKT(T)寫出T觸發(fā)器的特性方程:與JK觸發(fā)器的特性方程比較,得:J=T,K=T。令T=1,即可得T觸發(fā)器。322用D觸發(fā)器轉(zhuǎn)換成其他功能的觸發(fā)器(1)DJK寫出D觸發(fā)器和JK觸發(fā)器的特性方程: 比較兩式,得: 畫出邏輯圖。 33(2)DT圖(b)(3)DT圖(c) 34三、觸發(fā)器應(yīng)用舉例 例5.4.1 設(shè)計(jì)一個(gè)3人搶答電路。3人A、B、C各控制一個(gè)按鍵開關(guān)KA、KB、KC和一個(gè)發(fā)光二極管DA、DB、DC。誰先按下開關(guān),誰的發(fā)光二極管亮,同時(shí)使其他人的搶答信號(hào)無效。35 利用觸發(fā)器的“記憶”作

11、用,使搶答電路工作更可靠、穩(wěn)定。36本章小結(jié)1觸發(fā)器有兩個(gè)基本性質(zhì):(1)在一定條件下,觸發(fā)器可維持在兩種穩(wěn)定狀態(tài)(0或1狀態(tài))之一而保持不變;(2)在一定的外加信號(hào)作用下,觸發(fā)器可從一個(gè)穩(wěn)定狀態(tài)轉(zhuǎn)變到另一個(gè)穩(wěn)定狀態(tài)。2描寫觸發(fā)器邏輯功能的方法主要有特性表、特性方程、驅(qū)動(dòng)表、狀態(tài)轉(zhuǎn)換圖和波形圖(又稱時(shí)序圖)等。3按照結(jié)構(gòu)不同,觸發(fā)器可分為: (1) 基本RS觸發(fā)器,為電平觸發(fā)方式。 (2) 同步觸發(fā)器,為脈沖觸發(fā)方式。 (3) 主從觸發(fā)器,為脈沖觸發(fā)方式。 (4) 邊沿觸發(fā)器,為邊沿觸發(fā)方式。4根據(jù)邏輯功能的不同,觸發(fā)器可分為: (1) RS觸發(fā)器 (2) JK觸發(fā)器 (3) D觸發(fā)器 (4)

12、 T觸發(fā)器 (T觸發(fā)器 ) 5同一電路結(jié)構(gòu)的觸發(fā)器可以做成不同的邏輯功能;同一邏輯功能的觸發(fā)器可以用不同的電路結(jié)構(gòu)來實(shí)現(xiàn)。6利用特性方程可實(shí)現(xiàn)不同功能觸發(fā)器間邏輯功能的相互轉(zhuǎn)換。37TiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7

13、G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D2A-x*t$qZnVkShPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E2B+y(u%r#oWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x(u%r

14、ZoWlThQeMbJ8G4D1A-w*t!qYnVjSgPdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXlUiQfNcK8H5E2A+x*u$rZnWkThPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$qZnWkShPeMaJ7F4C1z)w&t!pYmUjRgOcL9I6E3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$qZnVkShPdMaJ7F4C0z)w&s!pYmUjRfOcL

15、9H6E3B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfNcK9H5E2B+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0$rZoWkThQeMbJ7G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#p

16、XlUiRfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI

17、7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$

18、qZnVkShPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E2B+y(u%r#oWlThQeNbJ8G5D1A-w*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x(u%rZoWlThQeMbJ8G4D1A-w*t!qYnVjSgPdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXhQeMbJ8G4D1A-w*t!qYnVjSgPdLaI6F3C0y)v&s#pXmUiRfNcK9H5E2B+x(u$rZoWkThQeMbJ7

19、G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXlUiQfNcK8H5E2A+x*u$rZnWkThPeMaJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$qZnWkShPeMaJ7F4C1z)w&t!pYmUjRgOcL9I6E3B+y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C0z)w&s!pYmUjRfOcL9H6E3B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F4C0z)v&s!pXmUjRfOcK9H6E2B+y(u%r

20、ZoWlThQeNbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfNcK9H5E2B+x(u$rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXQeNbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5

21、D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&s!pYmUjRgOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C0z)w&s!pXmUjRfOcL9H6E2B+y(u%r#oWlThQeNbJ8G5D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1A-w*t!qYnVjSgPdLaI6F3C0y)v&s#p

22、XlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#pXlUiQfNcK8H5E2A+x*u$rZnWkThPeMaJ7G4C1z-w&t!pYmVjRgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A-x*u$qZnWkShPdMaJ7F4C1z)w&t!pYmUjRgOcL9I6E3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$qZnVgOdL9I6E3B0y(v%s#oXlTiQfNbK8H5D2A+x*u$qZnWkShPeMaJ7F4C1z)w&t!pYmUjRgOcL9I6E

23、3B+y(v%r#oXlTiQeNbK8G5D2A-x*t$qZnVkShPdMaI7F4C0z)w&s!pYmUjRfOcL9H6E3B+y(u%r#oWlTiQeNbJ8G5D1A-x*t$qYnVkSgPdMaI7F3C0z)v&s!pXmUiRfOcK9H6E2B+x(u%rZoWlThQeMbJ8G4D1A-w*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUiRfNcK9H5E2B+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3B0y)v%s#pXlUiRfNcK8H5E2*t$qYnVjSgPdLaI7F3C0y)v&s#pXmUi

24、RfNcK9H5E2B+x(u$rZoWkThQeMbJ7G4D1z-w*t!qYmVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK8H5E2A+x(u$rZnWkThPeMbJ7G4C1z-w&t!qYmVjRgOdL9I6F3B0y(v%s#oXlUiQfNbK8H5D2A+x*u$rZnWkShPeMaJ7G4C1z)w&t!pYmVjRgOcL9I6E3B0y(v%r#oXlTiQfNbK8G5D2A-x*u$qZnVkShPdMaJ7F4C0z)w&s!pYmUjRfOcL9H6E3B+y(v%r#oWlTiQeNbK8G5D1A-x*t$qZnVkSgPdMaI7F4C

25、0z)v&s!pXmUjRfOcK9H6E2B+y(u%rZoWlThQeNbJ8G4D1A-w*t$qYnVkSgPdLaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v%s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPaI7F3C0z)v&s#pXmUiRfOcK9H5E2B+x(u%rZoWkThQeMbJ8G4D1z-w*t!qYnVjSgOdLaI6F3C0y)v&s#pXlUiRfNcK9H5E2A+x(u$rZoWkThPeMbJ7G4D1z-w&t!qYmVjSgOdL9I6F3B0y)v%s#oXlUiQfNcK8H5D2A+x*u$rZnWkThPeMaJ7G4C

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論