版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、等級: 課 程 設(shè) 計課程名稱嵌入式系統(tǒng)課程設(shè)計課題名稱基于CPLD的出租車計費器專 業(yè)電子信息工程班 級1201學(xué) 號19姓 名許振躍指導(dǎo)老師林愿2015年9月16日目 錄 TOC o 1-3 h z u HYPERLINK l _Toc21556 1、 課程設(shè)計任務(wù)要求 PAGEREF _Toc21556 1 HYPERLINK l _Toc25228 1.1 EDA發(fā)展前景 PAGEREF _Toc25228 1 HYPERLINK l _Toc1033 1.2 任務(wù)要求 PAGEREF _Toc1033 1 HYPERLINK l _Toc31670 2、設(shè)計步驟 PAGEREF _T
2、oc31670 1 HYPERLINK l _Toc31544 2.1 信號輸入 PAGEREF _Toc31544 1 HYPERLINK l _Toc6210 2.2 數(shù)據(jù)轉(zhuǎn)換 PAGEREF _Toc6210 1 HYPERLINK l _Toc16607 2.3 數(shù)據(jù)顯示 PAGEREF _Toc16607 1 HYPERLINK l _Toc4234 3、出租車計費系統(tǒng)的實現(xiàn) PAGEREF _Toc4234 2 HYPERLINK l _Toc10999 3.1 頂層電路設(shè)計 PAGEREF _Toc10999 2 HYPERLINK l _Toc19863 3.2 子模塊設(shè)計 P
3、AGEREF _Toc19863 2 HYPERLINK l _Toc9586 4、系統(tǒng)仿真 PAGEREF _Toc9586 5 HYPERLINK l _Toc24157 4.1 開始信號的仿真結(jié)果 PAGEREF _Toc24157 5 HYPERLINK l _Toc13080 4.2 加速信號仿真結(jié)果 PAGEREF _Toc13080 5 HYPERLINK l _Toc13216 4.3 顯示的仿真結(jié)果 PAGEREF _Toc13216 5 HYPERLINK l _Toc32336 4.4 decoder的仿真結(jié)果 PAGEREF _Toc32336 6 HYPERLINK
4、l _Toc8212 5、 下載調(diào)試及實驗結(jié)果 PAGEREF _Toc8212 6 HYPERLINK l _Toc16706 6、設(shè)計心得 PAGEREF _Toc16706 7 HYPERLINK l _Toc26236 參考文獻 PAGEREF _Toc26236 8 1、 課程設(shè)計任務(wù)要求1.1 EDA發(fā)展前景 EDA技術(shù)(即Electronic Design Automation技術(shù))就是依賴強大的計算機,在EDA工具軟件平臺上,對以硬件描述語言HDL(Hardware Ddscription Langurage)為系統(tǒng)邏輯描述手段完成的設(shè)計文件,自動地完成邏輯編譯、化簡、分割、綜
5、合、布局布線以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。 1.2 任務(wù)要求 CPLD為復(fù)雜可編程邏輯器件,通過EDA技術(shù)對其進行編程,可將一個較復(fù)雜的數(shù)字系統(tǒng)集成于一個芯片中,制成專用集成電路芯片,并可隨時在系統(tǒng)修改其邏輯功能。并最終完成電路的編程調(diào)試。具體要求如下:實現(xiàn)計費功能,計費標(biāo)準為:按行駛里程計費,起步價為5.00元,并在車行3Km后按1.40元/Km計費,當(dāng)計費器達到或超過20元時,每公里加收50的車費,車停止不計費?,F(xiàn)場模擬功能:能模擬汽車起動、停止、暫停以及加速等狀態(tài)。 (3) 按計動態(tài)掃描電路,將車費和路程顯示出來,各有兩位小數(shù)。 2、設(shè)計步驟 2.1 信號輸入
6、該模塊主要實現(xiàn)計費功能和現(xiàn)場模擬功能。計費標(biāo)準為:按行駛里程計費,起步價為5.00元,并在車行3km后按1.4元km計費,當(dāng)計費器達到或超過20元時,每公里加收50的車費,車停止不計費。并以高低脈沖模擬出租汽車啟動、停止、暫停、加速按鈕,具有輸入信號的作用。2.2 數(shù)據(jù)轉(zhuǎn)換 數(shù)據(jù)轉(zhuǎn)換模塊是一個模為10的加法計數(shù)器,可將計費模塊輸出的車費和路程轉(zhuǎn)換成4位的十進制數(shù)。2.3 數(shù)據(jù)顯示 顯示模塊是由七段LED數(shù)碼管譯碼和動態(tài)掃描顯示兩部分組成。采用的是共陰極七段數(shù)碼管,根據(jù)十六進制數(shù)和七段顯示段碼表的對應(yīng)關(guān)系,其中要求路程和車費都要用2位小數(shù)點來表示,所以須設(shè)置一個控制小數(shù)點的變量,即程序中的dp。
7、這段程序所示的是在數(shù)碼管的第二、第六個后面顯示小數(shù)點,實現(xiàn)了路程和車費都用2位小數(shù)點表示的功能。數(shù)碼管控制及譯碼顯示模塊將十進制的輸入信號用七段數(shù)碼管顯示,由七段發(fā)光二極管組成數(shù)碼顯示器,利用字段的不同組合。可分別顯示09十個數(shù)字。3、出租車計費系統(tǒng)的實現(xiàn) 3.1 頂層電路設(shè)計 圖1 系統(tǒng)總體框圖3.2 子模塊設(shè)計 3.2.1 taxi的實現(xiàn)模塊taxi見下圖。輸入端口speedup,start,pause,stop分別為出租車計費器的加速、起動、暫停、停止按鍵。實現(xiàn)計費功能,計費標(biāo)準為:按行駛里程計費,起步價為5.0元,并在車行3Km后按1.40元/Km計費,當(dāng)計費器達到或超過20元時,每公
8、里加收50的車費,車停止不計費。圖2 taix模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity decoder isport(clk20mhz: in std_logic;money_in:in integer range 0 to 8000;distance_in:in integer range 0 to 8000;scan:out std_logic_vector(7 downto 0);seq7:out std_logic_vector(6 downto 0);dp:out
9、 std_logic);end;architecture one of decoder issignal clk1khz:std_logic;signal data:std_logic_vector(3 downto 0);signal m_one,m_ten,m_hun,m_tho:std_logic_vector(3 downto 0);signal d_one,d_ten,d_hun,d_tho:std_logic_vector(3 downto 0);variable num:integer range 0 to 9;variable dis:integer range 0 to 10
10、0;variable d:std_logic;beginif stop = 1 thenmoney_reg:= 0;distance_reg:= 0;dis:= 0;num:= 0;3.2.2 decoder的實現(xiàn) 模塊decoder見下圖。該模塊把車費和路程轉(zhuǎn)化為數(shù)碼管可以顯示的信號。圖3 模塊xelsif start = 0 and speedup = 10 and pause = 0 and stop = 0 thenif num = 9 thennum:= 0;distance_reg:= distance_reg + 5;dis:= dis + 5;else num:= num +
11、1;end if;elsif start = 0 and speedup = 11 and pause = 0 and stop = 0 thendistance_reg:= distance_reg + 1;dis:= dis + 1;end if;if dis = 100 thend:= 1;dis:= 0;else d:= 0;end if;if distance_reg = 300 thenif money_reg = 2000 and d = 1 thenmoney_reg:= money_reg + 210;end if;end if;end if;money = money_re
12、g;distance data = m_one; dp = 0; scan data = m_ten; dp = 0; scan data = m_hun; dp = 1; scan data = m_tho; dp = 0; scan data = d_one; dp = 0; scan data = d_ten; dp = 0; scan data = d_hun; dp = 1; scan data = d_tho; dp = 0; scan seq7 seq7 seq7 seq7 seq7 seq7 seq7 seq7 seq7 seq7 seq7 = 0000000;end case
13、;end process;end;4、系統(tǒng)仿真4.1 開始信號的仿真結(jié)果 輸入端口speedup,start,pause,stop分別為出租車計費器的加速、起動、暫停、停止按鍵。 輸入:clk, start, stop, pause, speedup; 輸出:money, distance;圖5 開始仿真結(jié)果4.2 加速信號仿真結(jié)果對控制模塊taxi進行仿真,觀察波形可知,當(dāng)啟動鍵(start)為一個脈沖時,表示汽車已啟動,車費money顯示起步價為5.00元,同時路程distance隨著計費脈沖開始計數(shù),當(dāng)停止鍵(stop)為1時,表示汽車熄火,車費money和路程distance均為0;當(dāng)
14、暫停鍵(pause)為1時,車費和路程停止計數(shù);當(dāng)檔位鍵分別取0、1、2時路程的計數(shù)逐步加快,表示車速逐步加快。 輸入:clk, start, stop, pause, speedup; 輸出:money, distance;圖6 系統(tǒng)仿真結(jié)果下載調(diào)試及實驗結(jié)果 程序下載完后,按下啟動鍵,車費顯示起步價為5元。圖9 加速仿真結(jié)果 當(dāng)路程超過3公里后每公里多加1.4元,顯示為6.4元。圖10 加速仿真結(jié)果6、設(shè)計心得我們這次課程設(shè)計的題目是出租車計費器,拿到題目我就有點小激動,因為終于可以利用自己的所學(xué)做點與實際生活相關(guān)的東西了。首先,我復(fù)習(xí)的一下VHDL程序設(shè)計的基本語法,然后開始熟悉Quar
15、tus 的相關(guān)操作。包括模塊化的編譯器。編譯器包括的功能模塊有分析/綜合器,適配器、裝配器、時序分析器、設(shè)計輔助模塊、EDA網(wǎng)表文件生成器、編輯數(shù)據(jù)接口等??梢酝ㄟ^選擇Start Compilation來運行所有的編譯器模塊,也可以通過選擇Start單獨運行各個模塊。還可以通過選擇Compiler Tool,在Compiler Tool窗口中運行該模塊來啟動編譯器模塊。然后,我開始去圖書館找相關(guān)的圖書,因為當(dāng)我看了課程設(shè)計任務(wù)書之后,對于整個程序還是沒有把握。所以我希望能夠找到相關(guān)的程序進行驗證,然后修改以符合自己的課程設(shè)計的要求。功夫不負有心人,我在圖書館借的幾本書中就有和我們課題類似的程序
16、模塊。所以,我就將書中的程序在Quartus II中運行了一遍??墒?,過程并沒有我們想象的那么順利。我們發(fā)現(xiàn)加速功能無法實現(xiàn),一旦開啟加速,數(shù)碼管就會出現(xiàn)亂碼。經(jīng)過對源代碼的一番修改,我們的亂碼現(xiàn)象有了一些好轉(zhuǎn)。但是,也并沒有完全達到要求。經(jīng)過在實驗箱中的調(diào)試,我們終于找到了解決方案,即改變輸入的時鐘頻率。尋找到最合適的頻率,就可以讓系統(tǒng)達到顯示要求。通過這次課程設(shè)計,我分析問題,解決問題的能力有了一定的提高。同時,對之前所學(xué)的知識也進行了鞏固。更重要的是,我發(fā)現(xiàn)之前學(xué)的很多基礎(chǔ)知識是有用的。一旦開始進行工程實踐,這些知識將會發(fā)揮巨大的作用。所以,我決定在今后的學(xué)習(xí)過程中重視基礎(chǔ)知識的學(xué)習(xí),在增強理論基礎(chǔ)的同時,再動手進行工程實踐。同時感謝對我?guī)椭^的同學(xué)們,謝謝你們對我的幫助和支持,讓我感受到同學(xué)的友誼。老師循循善誘的教導(dǎo)和不拘一格的思路給予我無盡的啟迪;這次設(shè)計的每個細節(jié)和每個數(shù)據(jù),都離不開老師您的細心指導(dǎo)。謝謝您! 參考文獻1. 陳大欽主編,電子技術(shù)基礎(chǔ)實驗,高等教育出版社。2. 彭介華主編,電子技術(shù)課程設(shè)計指導(dǎo),高等教育出版社。3. 張 原編著,可編程邏輯器件設(shè)計及應(yīng)用,機械工業(yè)出版社。4.荀殿棟,徐志軍編著,數(shù)字電路
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度商業(yè)地產(chǎn)項目地下車位使用權(quán)轉(zhuǎn)讓合同4篇
- 2025產(chǎn)業(yè)園項目幕墻二次深化設(shè)計、監(jiān)理及驗收服務(wù)合同2篇
- 2024年縫紉設(shè)備及相關(guān)技術(shù)咨詢合同
- 2025年度新能源汽車買賣及售后服務(wù)合同4篇
- 2025年度智能車庫門購銷安裝一體化服務(wù)合同4篇
- 2025年度智能安防監(jiān)控系統(tǒng)設(shè)計與實施合同4篇
- 2024鐵路信號設(shè)備更新改造工程合同文本3篇
- 中國醫(yī)用呼吸機行業(yè)市場調(diào)查研究及投資戰(zhàn)略咨詢報告
- 中國家居百貨行業(yè)市場調(diào)查研究及投資前景預(yù)測報告
- 2025年度個人房屋抵押貸款合同終止協(xié)議4篇
- C及C++程序設(shè)計課件
- 帶狀皰疹護理查房
- 公路路基路面現(xiàn)場測試隨機選點記錄
- 平衡計分卡-化戰(zhàn)略為行動
- 國家自然科學(xué)基金(NSFC)申請書樣本
- 幼兒教師干預(yù)幼兒同伴沖突的行為研究 論文
- 湖南省省級溫室氣體排放清單土地利用變化和林業(yè)部分
- 材料設(shè)備驗收管理流程圖
- 培訓(xùn)機構(gòu)消防安全承諾書范文(通用5篇)
- (完整版)建筑業(yè)10項新技術(shù)(2017年最新版)
- 第8期監(jiān)理月報(江蘇版)
評論
0/150
提交評論