EDA設(shè)計基于VHDL的簡易全自動控制洗衣機_第1頁
EDA設(shè)計基于VHDL的簡易全自動控制洗衣機_第2頁
EDA設(shè)計基于VHDL的簡易全自動控制洗衣機_第3頁
EDA設(shè)計基于VHDL的簡易全自動控制洗衣機_第4頁
EDA設(shè)計基于VHDL的簡易全自動控制洗衣機_第5頁
已閱讀5頁,還剩26頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、EDA設(shè)計基于VHDL的簡易全自 動控制洗衣機作者:日期:華東理工大學(xué) 2009 -2010 學(xué)年第2學(xué)期電子綜合設(shè)計 DEA 課程設(shè)計作業(yè)2010.6班級:XXXX 學(xué)號:XXX 姓名:XXXX開課學(xué)院:信息學(xué)院任課老師:XXXX 成績: 題目:1、簡易全自動洗衣機控制器設(shè)計2、通信系統(tǒng)信道編碼器設(shè)計作業(yè)要求:電子系統(tǒng)設(shè)計EDA課程是電子信息工程、自動控制、計算機科學(xué)與工程 等專業(yè)的技術(shù)課之一,具有很強的工程實踐性 .課程學(xué)習(xí)要求學(xué)生:掌握現(xiàn)代硬 件數(shù)字電路的軟件化設(shè)計的基本方法、掌握應(yīng)用 VHD及EDAL具開發(fā)設(shè)計數(shù)字 系統(tǒng)的基本方法以及對現(xiàn)代電子系統(tǒng)設(shè)計技術(shù)有一定的了解設(shè)計報告要求:1、

2、按照設(shè)計題目要求構(gòu)建設(shè)計框圖 2、用EDA設(shè)計軟件按照 設(shè)計題目要求進行原型設(shè)計并給出仿真結(jié)果 3、對仿真結(jié)果進行一定的討論 4、 原程序和仿真波形等附錄。教師評語:教師簽名:電子綜合設(shè)計EDA綜合設(shè)計題設(shè)計一簡易全自動洗衣機控制器。 該控制器由兩大狀態(tài) A和B組成,每個狀態(tài)分三個子狀態(tài), 每個狀態(tài)分別由選擇 A和選擇B控制。其中A為步進選擇按紐,每步跳轉(zhuǎn)一個子狀態(tài)、B也為步進選擇按紐,但每步選擇B中的所有組合中的一種。當(dāng)啟動時,時間序列控制器按已選的B類子狀態(tài)順序執(zhí)行。過程啟動由啟動/暫停鍵控制(暫停鍵在過程啟動后任意時間可暫停/恢復(fù)過程)過程啟動后機蓋開啟應(yīng)均能暫停過程,復(fù)蓋間停30秒后重

3、新繼續(xù)原過程。A:強洗標(biāo)準(zhǔn)弱洗B:洗滌漂洗 甩干(洗滌,漂洗時電機分別正轉(zhuǎn)、反轉(zhuǎn))強洗:(共36分鐘)洗滌 18分漂洗14分甩干4分(洗滌時電機分別正轉(zhuǎn) 4分、反轉(zhuǎn)4分,正反轉(zhuǎn)間停30秒;漂洗時電機分別正轉(zhuǎn)3分、反轉(zhuǎn)3分,正反轉(zhuǎn)間停30秒;甩干時電機分別正轉(zhuǎn) 1。5分,間停30秒)標(biāo)準(zhǔn):(共26分鐘)洗滌 14分漂洗8分甩干4分(洗滌時電機分別正轉(zhuǎn) 3分、反轉(zhuǎn)3分,正反轉(zhuǎn)間停30秒;漂洗時電機分別正轉(zhuǎn)1。5分、反轉(zhuǎn)1.5分,正反轉(zhuǎn)間停 30秒;甩干時電機分別正轉(zhuǎn) 1.5分,間停30秒)弱洗(共20分鐘)洗滌 10分漂洗 6分甩干 4分(洗滌時電機分別正轉(zhuǎn) 2分、反轉(zhuǎn)2分,正反轉(zhuǎn)間停30秒;漂洗

4、時電機分別正轉(zhuǎn)1分、反轉(zhuǎn)1分,正反轉(zhuǎn)間停 30秒;甩干時電機分別正轉(zhuǎn) 1.5分,間停30秒)設(shè)定秒脈沖已給定,指示為LED,整過程完成后,蜂鳴器響 30秒。整個設(shè)計為正邏輯.一、程序如下:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164 。 ALL;USE IEEE。 STD_LOGIC_UNSIGNED.ALL; ENTITY student ISPORT (COUNT_N, COUNT_M,START , COOK,CLK:IN STD_LOGIC ;LOOK : OUT STD_LOGIC ;DOUT :OUT STD_LOGIC_VECTOR (1 DOWNT

5、O 0 );END STUDENT ;ARCHITECTURE BEHA V OF student ISSIGNAL DT1 , DT2:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL DICSOUNT , TEM:STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL DCP : STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL CT:STD_LOGIC_VECTOR(5 DOWNTO 0);SIGNAL CT1,CT2 : STD_LOGIC_VECTOR (3 DOWNTO 0);SIGNAL SG,CMKS : STD_L

6、OGIC; BEGINPROCESS (COUNT_N , SG) BEGINIF SG= 1 THENDT1=00;ELSIF COUNT_NEVENT AND COUNT_N=1 THENIF DT1=3 THENDT1=01 ” ;ELSEDT1 =DT1+1 ;END IF ;END IF;END PROCESS;PROCESS (COUNT_M,SG )BEGINIF SG= 1 THENDT2= 00 ;ELSIF COUNT_MEVENT AND COUNT_M=1 THENIF DT2=3 THENDT2=01;ELSEDT2=DT2+1 ;END IF;END IF;END

7、PROCESS;PROCESS (START)BEGINIF SG=1 THENCMKS= 0;ELSIF STARTEVENT AND START=1 THENDICSOUNT=DT1 & DT2;CMKS =CMKS XOR 1;END IF;END PROCESS;PROCESS(CLK,START , COOK)BEGINIF START= 1 ANDP=0000 THENDCP=DICSOUNT ;ELSIF CLKEVENT AND CLK=1 THENIF COOK= 1 THENDOUT=00” ;ELSIF START= 1 AND DCP 0000 THENDOUT=00;

8、ELSIF SG= 1 THENIF CT1 0001 THENCT1=0000 ; SG=0;J*END IF;ELSIF CMKS=1 THENCASE DCP ISWHEN 0101 ” 上IF CT35 THENCT =CT+1;IF CT18 THENDOUT 二 01 CT1 =CT1+1;ELSIF CT1=8 THENDOUT=00 ; CT1=CT1+1;ELSIF CT28 THENDOUT=10;CT2=CT2+1;ELSIF CT2=8 THENDOUT二” 00; CT1=0000 ;CT2= 0000;END IF; ELSEDCP= 0110 ;CT IF CT2

9、7 THEN CT=CT+1; IF CT16 THEN DOUT二 01” ;CT1=CT1+1 ELSIF CT1=6 THEN DOUT二 00;CT1=CT1+1; ELSIF CT26 THEN DOUT=10 ;CT2=CT2+1 ELSIF CT2=6 THEN DOUT= 00;CT1=0000;CT2=0000; END IF ;ELSEDCP =0111 ;CT= 000000 ;CT1=0000;CT2=0000;DOUT= 00;END IF;WHEN 0111” 立IF CT8 THENCT=CT+1;IF CT13 THENDOUT= 01 ;CT1=CT1 + 1

10、;ELSIF CT1=3 THENDOUT= 00; CT1=CT1+1;ELSIF CT23 THENDOUT=10; CT2=CT2+1 ;ELSIF CT2=3 THENDOUT=00 ;CT10000 ; CT2=0000;END IF; ELSEDCP =0000 ; CT = 000000 - CT1=0000 ; CT2 =0000;SGIF CT27 THENCT =CT+1;IF CT16 THENDOUT 二 01 CT1 =CT1+1;ELSIF CT1=6 THENDOUT二” 00; CT1=CT1+1;ELSIF CT26 THENDOUT二 10 CT2=CT2+

11、1;ELSIF CT2=6 THENDOUT二 00;CT1= 0000CT2二” 0000;”END IF ; ELSEDCP =1010 ;CT= 000000;CT1 =0000 ” ;CT2二 0000 ;DOUT=0O ;END IF;WHEN 1010” =IF CT15 THENCT=CT+1;IF CT13 THENDOUT = 01 CT1=CT1 + 1;ELSIF CT1=3 THENDOUT=00 ;CT1=CT1 + 1 ;ELSIF CT23 THENDOUT= 10;CT2=CT2+1;ELSIF CT2=3 THENDOUT= 00; CT1= 0000;CT2

12、=0000 ” ;END IF ; ELSEDCP= 1011 ;CT= 000000”; CT1 =0000 ” ;CT2= 0000 ;DOUTIF CT8 THENCT=CT+1 ;IF CT13 THENDOUT= 01;CT1=CT1 + 1;ELSIF CT1=3 THENDOUT=00 ;CT1=CT1+1;ELSIF CT23 THENDOUT= 10 ;CT2=CT2+1 ;ELSIF CT2=3 THENDOUT=00 ; CT1=0000 ; CT2=0000;END IF ;ELSEDCP=0000;CT= 000000 ;CT1= 0000;CT2= 0000”;SG

13、IF CT19 THENCT=CT+1;IF CT14 THENDOUT=01 ; CT1=CT1+1 ;ELSIF CT1=4 THENDOUT=00” ;CT1=CT1 + 1;ELSIF CT24 THENDOUT=10;CT2=CT2+1;ELSIF CT2=4 THENDOUT=00” ;CT1 = 0000 CT2IF CT11 THENCT =CT+1;IF CT12 THENDOUT=01 ; CT1=CT1 + 1 ;ELSIF CT1=2 THENDOUT=00 ;CT1=CT1 + 1 ;ELSIF CT22 THENDOUT= 10; CT2=CT2+1 ;ELSIF

14、CT2=2 THENDOUT= 00 ;CT1= 0000;CT2= 0000;END IF;ELSEDCP=1111 ;CT=000000 ” ;CT1 =0000 ” ;CT2=0000 ;DOUT= 00;END IF;WHEN 1111” 正=1IF CT8 THENCT=CT+1;IF CT13 THENDOUT=01;CT1=CT1 + 1;ELSIF CT1=3 THENDOUT二” 00; CT1=CT1+1;ELSIF CT23 THENDOUT=10; CT2=CT2+1;ELSIF CT2=3 THENDOUT=00” ;CT1=0000; CT2二 0000;END I

15、F;ELSEDCP二” 0000; CT二” 000000 ;CT1=0000CT2DOUT= 00;END CASE;END IF ;END IF ;END PROCESS ;LOOK=SG ;END BEHA V;、仿真波形如下強洗全部過程陽 f!oj Oif-hl Te R3Ae httftii |11H州4融 OurICDOue460 Oje31n U*29DDw300 DuvSOfiinQ %辟1r3 DCR1T IK PHmrT* CA4KSiij強洗漂洗、甩干&yb l 岫 dmWeH-COW Lta-OJCmuMfji LCOJNrBN Ljul JuunrjinnnnuL j

16、uiuinui ju.w. jl julwuwuuijl 皿皿nnruu 皿皿nnnnn皿皿n皿皿ul 0 .i_lr_i _ nW Ci Cl Iff *0Ti tlT DT3 一口mDA DI DI DD* CN 0一口皿DCPO Ch*:5 5.CT 0CD-1IT3x i gk i 白 曠廠w g詢尊0tm)期:LLLL XK0CCZ3Emmm mcmwr mmnnr標(biāo)準(zhǔn)全部過程個人收集整理勿做商業(yè)用途h-ir mJL1IJ inlr-Kjl- |展七山弓 _lESCuftC OOK09Qurr刈加V-CLKcoLiyrjM 3DUT國imop- DIZ-u* DDF2EDCPJ“HE

17、DCP1DerajirirLrLnriJinnnmrVLrLnrLnn_rLrLrLn_n_n_rLrLmn_rLn_nrLrLrmnrLnrLrLn_n_rLnrLrLn_rin:ocD 3標(biāo)準(zhǔn)(漂洗、甩干)口L -3Q|J InbrTi!卜 B30 Suuhlfdl START cockCLKeoLrjr_N LOOKDCi.rr申:七 ijn- |口口311門口曲1*50 (HUQJui加 DOt -JTrLnnnnrLrLnrLnnr_rLn_rLn_rLrLnnnn_rLn_n_rLnrLrLrLrLn_rLrLrLrLrLrLruTrLrLrLrLrLn_rLrLr _run _n

18、_nU on dZ DT?3 DCF DCP3 DCf,a -.DCF IcroCMkEd ia標(biāo)準(zhǔn)(甩干)口Pt11 J J CBLlg* | *| hme- |u i.r,BA SWT LC|.IIC的u-J iHrteml卜I即.川_i2a ma 單11Mgt-一皿OwpJXhCDJNir_M u- ;WNL同-l CiOMT dp 51DOSnnjuuinjumrHrLrLnrLrLruuinnrLrLrLrmrLruLrLrLrLrLrmrLnrLruuuuwwmrOCPOCP3DCP2OCP1DCPQ :W:SD 3口 id勿做商業(yè)用途弱洗全過程)W - M4- DOUTcawr:

19、0 NtaCiC7 . iDCPIDCPDInl-rtfli jmnjpumnjuuuvuumnrDmmTinnjuuLmnnnnjuumrawuuuLnrDTrir (i_j _rurunRdT ! J塞心M*wi.不加H* | * THia gEu&IninivaL p 19 4u&5口 MGM QugT1 站 dlftQI DuA嗎口 EHLI IDufil3s3 0 4- -ffiDJQhiB4SQ OLriQQlv- jsrwra : 1_g- COOKaifCOUNT-M1 a_n_rLrLrLrLrLnn_n_rLrLrLrLrLinrLRnrLrLrLrmnrLrLrLruLr

20、LnnrLrLrLnrLrLnnrLnjmnnrLrLrU-CQUlMT N0jt n nIJ3OKD 2U1阿2:fT,u,1i.- ii lait c JoriUJj、.W COD 1o ):1M H930cpDBo _Lq10皿OCP31_r-r DC Pi口7 OGIUr“IXE1r-LJ-* hl%S1_njumnnrEJumTEJumnnrouinnjmnnnnjmrEnnnrEnjLr:Lk二.口|_:.OUHT 以強開蓋子:一、 強洗強開蓋標(biāo)準(zhǔn)強開蓋COCKCLKkv L&- CijiJlmW DT7一: J, ME H中 i IC Pi比廂, 3尋J Irfce-wil l-

21、B&Bua- lfiNlEH卯Du?4TO.Q,o),nifinjLrLfuirLnfuinnjuuwLrmnnrLrLruuinnjuirLfULrinnRnnjmnnjmrLnnfign)n IBM. h牌包I-9仃:.3叫SfliOUi54力加,L.m,如,QustOOfw3KI0WGpu5咖 JlirTOT,用可打 _TL| |rLrLrLrLnrLrLTLrinnrLrLrrLrLrLrLrLrLrLnrLrLrLrLrLrLrLrLrLrLrinrLrLrLrLrLrLrLrLrLrLrLrLrLrLrLr0BLi&TJi0n n n-4 1OkDOl 11n,丫 Y 2 Yt1Y

22、oY21dZ PT1口匕l(fā)ULDCJX31 tJt_BEP 5D 1,jlf:rtf DC Pi一-T1rK PInCpC PB1_FW EKf1 :f暫停和啟動一、強洗暫停強洗重新啟動的 r Hum映*f錯g| l*| HI net 忖口值| Imr中肚二斗 口1_IB?.IQQPWOUIfflDUMiTOOW產(chǎn)a* npuiXQg*TMTTCDCKCLK kCOJHT_ML lookgJT此DT1 .a7 WDCP DC 用I L tcpi4 WkCM4n n iu 口0DQ du DrD i d iQ1 IjumnnnnrLTLnJ ljuuuljuuuljljuuliuuuljliuu

23、uliuuliliuljljliuuljliuuljliu_TL,d1)11M2口K 517K-a Ii1 :t1101 IK1_lrii1-Wtf iTftrouiiNEniifr*=SWT,七LK HkOQUNT.U. 0UKTM LOOK vbouv w DTI 迎072 iWocp , bCPi 。常 Q毋I四、標(biāo)準(zhǔn)暫停1gJl h- fc加孕BItKCuS| I血,皿 .31figQirfr300 Ou fl-Z9OVU4 一MP,Ou:0U34 刃 0U4TuinnruinnTuutnTuinnTuinTTOinrutrEnTuuinnTuuiruuuirTOinruirErnTr

24、cr n nD標(biāo)準(zhǔn)重新啟動五、弱洗暫停弱洗重新啟動7nnnrnmnrLrLrinrLnjTrLrLrumnnnfmjmnnnnnnjmruinnrLrwuLrLruTruinrCOLWI. WuqT lliDkUOLH,兩次洗衣一、兩次強洗,Rhifc|1 JQ Dmii-f Tii132 4ua1 lri.4 maL卬否i*,的1gQidua11gMsiiatHi 用An第口湖 MX68t1*Q 口.律 Qu.看電加Izr n0w-UKQnnrLrLnnrLTLRnnnrn ann nnnnn njinn n_nnn rum n n_rui Jinnu Jinnu nnrui rOuijr

25、u0_n_s-CQU*tJ10_j_iir i4* ls3p0pcmp】QHJL3 k申Y , Ktli13 PT I)D2O I 13;? !.!Q 1ioJL1Jptf E,M9 JflJ*PCP3口1T* KM09ECF41Y1-ir-| 日4 IJJHS Q4L|一噸| 1通|4PQ電1審 hwnd | M 5vfrZZI-rigHkt,蕈4 r59 pl1g叫J 51d.EM,電E諫w3而r |Zj型麗Fltel*j e Bigi舊祀謝 中33isqdue.nonduEi空1向=血口值 L 1i產(chǎn)HusZSQDue.300 QjeDOUe.皿 Ule45DDiisWhi*可兩1 n

26、11- -umCOO0tf-CLK ccp3CC Pl-DrP3 4jrcpi -tfLCRj 一川小w L.T1W*CT1CO兩次標(biāo)準(zhǔn)(漂洗、甩干)岫6.STAJRT H CCOK taKLKCOklNT_M I- COUNT_hJ LOOK m 崢nri 山DT2 一口 g ocn7 DCETi: M”: iW CTi 2 :t 1 -.T2-1K1IggIwgjh目旬網(wǎng)自ajtvi布Ejhi1 的盧: I兩次弱甩干EJhiS 網(wǎng) H 口 gBDCiriiDQ9iri幽XtOil曲pirinflChfr取 9H4 叫DutIBQCHri網(wǎng) row CLKAEUWTJMICOUNTS lCOkw ran a tri MP pen EK!配M PCH 63 cn CT-o - a 0 0 0 a 0 1 a gg a Q Q o DO?

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論