![脈沖寬度測(cè)量?jī)x_第1頁](http://file4.renrendoc.com/view/f47bc4860f30e6d01b1d76311bb8b6a3/f47bc4860f30e6d01b1d76311bb8b6a31.gif)
![脈沖寬度測(cè)量?jī)x_第2頁](http://file4.renrendoc.com/view/f47bc4860f30e6d01b1d76311bb8b6a3/f47bc4860f30e6d01b1d76311bb8b6a32.gif)
![脈沖寬度測(cè)量?jī)x_第3頁](http://file4.renrendoc.com/view/f47bc4860f30e6d01b1d76311bb8b6a3/f47bc4860f30e6d01b1d76311bb8b6a33.gif)
![脈沖寬度測(cè)量?jī)x_第4頁](http://file4.renrendoc.com/view/f47bc4860f30e6d01b1d76311bb8b6a3/f47bc4860f30e6d01b1d76311bb8b6a34.gif)
![脈沖寬度測(cè)量?jī)x_第5頁](http://file4.renrendoc.com/view/f47bc4860f30e6d01b1d76311bb8b6a3/f47bc4860f30e6d01b1d76311bb8b6a35.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、課程設(shè)計(jì)課 程 EDA技術(shù)課程設(shè)計(jì)題 目 脈沖寬度測(cè)量?jī)x院 系 電子科學(xué)學(xué)院專業(yè)班級(jí) 電子信息工程學(xué)生姓名學(xué)生學(xué)號(hào)指導(dǎo)教師目錄 TOC o 1-5 h z HYPERLINK l bookmark14 o Current Document 一、課程設(shè)計(jì)任務(wù)書1 HYPERLINK l bookmark28 o Current Document 二、總體設(shè)計(jì)思想2三、設(shè)計(jì)步驟和調(diào)試過程2四、設(shè)計(jì)電路圖6 HYPERLINK l bookmark51 o Current Document 五、實(shí)驗(yàn)調(diào)試結(jié)果6 HYPERLINK l bookmark55 o Current Document 六、結(jié)
2、論及心得體會(huì)6七、參考資料 7課程設(shè)計(jì)任務(wù)書課程EDA技術(shù)課程設(shè)計(jì)題日脈沖寬度測(cè)量?jī)x專業(yè)電子信息工程姓名 殷作鴻 學(xué)號(hào)200802000063主要任務(wù):采用EDA技術(shù),設(shè)計(jì)一個(gè)能測(cè)量脈沖信號(hào)寬度的系統(tǒng)。系統(tǒng)圖如下:基本要求:(1)脈沖信號(hào)寬度的測(cè)量精度為土 1ms。(2)脈沖信號(hào)寬度的測(cè)量范圍為010s。(3)調(diào)試過程中可以用按鍵模擬脈沖信號(hào)。(4)測(cè)量值用5位數(shù)碼管顯示(可以采用靜態(tài)顯示)。(5)輸入信號(hào)為標(biāo)準(zhǔn)TTL電平。(6)調(diào)試中既可以采用正脈沖,也可以采用負(fù)脈沖(任選其一)。(7)必須先進(jìn)行前仿真,并打印出仿真波形。(8)按要求寫好設(shè)計(jì)報(bào)告(設(shè)計(jì)報(bào)告內(nèi)容包括:引言,方案設(shè)計(jì)與論證,總體
3、設(shè)計(jì),各模塊設(shè)計(jì),調(diào)試與數(shù)據(jù)分析,總結(jié))。、總體設(shè)計(jì)思想1.基本原理根據(jù)設(shè)計(jì)要求,系統(tǒng)的輸入信號(hào)有:系統(tǒng)時(shí)鐘信號(hào)CLK,系統(tǒng)復(fù)位信號(hào)CLR, 脈沖輸入信號(hào)P_IN,計(jì)數(shù)輸出端當(dāng)檢測(cè)到P_IN端有脈沖輸入時(shí)檢測(cè)模塊就會(huì) 輸出1 ,否則為0 ,輸給計(jì)數(shù)模塊的EN端,當(dāng)各位累計(jì)到9時(shí),會(huì)向十位進(jìn)位,一 次類推到萬位.最后由數(shù)碼管顯示脈沖的寬度(數(shù)碼管的讀數(shù)).2.設(shè)計(jì)框圖系統(tǒng)組成方框圖如下所示,它由外部輸入模塊、檢測(cè)模塊和顯示模塊三部分 組成。檢測(cè)模塊是整個(gè)系統(tǒng)的核心,它由計(jì)數(shù)模塊、控制模塊、計(jì)量模塊和譯碼顯示模塊構(gòu)成時(shí)鐘信號(hào)計(jì)數(shù)器檢測(cè)計(jì)數(shù)信號(hào)輸入脈沖清零復(fù)位系統(tǒng)框圖顯示二、設(shè)計(jì)步驟和調(diào)試過程1、總
4、體設(shè)計(jì)電路脈沖檢測(cè)模塊當(dāng)有檢測(cè)到P_IN端有脈沖輸入(P_IN=1)時(shí),EN_OUT=1輸送到計(jì)數(shù) 器的EN端。計(jì)數(shù)模塊計(jì)數(shù)模塊是對(duì)檢測(cè)到的脈沖進(jìn)行計(jì)數(shù),計(jì)算出脈沖的寬度。譯碼顯示模塊對(duì)輸入的脈沖寬度進(jìn)行顯示出來。三、模塊設(shè)計(jì)和相應(yīng)模塊程序檢測(cè)程序library ieee;use ieee.std_logic_1164.all;entity jiance isport(P_IN : in std_logic;EN_OUT: out std_logic);end jiance;architecture behave of jiance isbeginprocess (P_IN)beginif(P
5、_IN=1) then EN_OUT=1;-實(shí)現(xiàn)檢測(cè),若有脈沖就輸出為1else EN_OUT=0;,否則為0end if;end process;end behave;計(jì)數(shù)程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cout10 isport(EN:in std_logic;CLK:in std_logic;CLR:in std_logic;CQ:out std_logic;Qout:BUFFER std_logic_vector(3 downto 0) );end cou
6、t10;architecture art of cout10 isbeginU1:process(CLK,CLR,EN,QOUT)Beginif CLR=1 then Qout=0000;elsif CLKEVENT and CLK=1 then4if EN=1 thenif Qout=1001 then Qout=0000;else Qout=Qout+1;end if;end if ;end if ;if Qout=1001” thenCQ=1;elseCQ=0;end if;end PROCESS U1;end art;四、設(shè)計(jì)電路圖五、實(shí)驗(yàn)調(diào)試結(jié)果為驗(yàn)證所設(shè)計(jì)程序是否正確,將程序下載進(jìn)行硬件測(cè)試。在QuartusII開發(fā) 環(huán)境中進(jìn)行管腳鎖定,連接好數(shù)碼管驅(qū)動(dòng)電路,然后將日標(biāo)文件下載到器件中。 經(jīng)過多次數(shù)據(jù)測(cè)試,顯示正常,基本實(shí)現(xiàn)本實(shí)驗(yàn)的要求。六、結(jié)論及心得體會(huì)這次的課程設(shè)計(jì)對(duì)于我來說是畢業(yè)前的一次演練,從選題到畫出流程圖, 再到寫出程序,不停的編不停的改不停的查資料直至最后完成,有問題大 家都坐在一起討論,一起努力,一起攻克問題。我想我們享受的就是這個(gè)過程, 而不僅僅只是結(jié)果。通過這次的設(shè)計(jì),我知道了,在任何問題面前,只要我們 努力,只要我們勇敢,我想,它們都
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024民間借貸合同范本(28篇)
- 2025年農(nóng)村子女撫養(yǎng)費(fèi)用分擔(dān)協(xié)議
- 2025年供電企業(yè)與用戶用電合作協(xié)議
- 2025年共同策劃健身房合作合同書
- 企業(yè)勞動(dòng)合同協(xié)議2025年
- 2025年企業(yè)員工勞動(dòng)合同補(bǔ)充協(xié)議范本
- 2025年鋁合金預(yù)拉伸厚板和蒙皮鋁合金板項(xiàng)目立項(xiàng)申請(qǐng)報(bào)告模范
- 2025年高性能陶瓷復(fù)合材料項(xiàng)目立項(xiàng)申請(qǐng)報(bào)告模板
- 2025年企業(yè)變更代理協(xié)議
- 2025年漲緊輪項(xiàng)目提案報(bào)告模板
- 中國(guó)人口研究專題報(bào)告-中國(guó)2025-2100年人口預(yù)測(cè)與政策建議-西南財(cái)經(jīng)大學(xué)x清華大學(xué)-202501
- 建筑工程安全與管理
- 幼兒園開學(xué)教師安全知識(shí)培訓(xùn)
- 2024年山東水利職業(yè)學(xué)院高職單招職業(yè)技能測(cè)驗(yàn)歷年參考題庫(頻考版)含答案解析
- 遼寧省名校聯(lián)盟2025年高三1月份聯(lián)合考試 語文試卷(含答案詳解)
- 工廠廠區(qū)道路拆除實(shí)施方案
- 25版六年級(jí)寒假特色作業(yè)
- 浙江省杭州市9+1高中聯(lián)盟2025屆高三一診考試英語試卷含解析
- 2025教科版一年級(jí)科學(xué)下冊(cè)教學(xué)計(jì)劃
- 中學(xué)生勞動(dòng)安全課件
- 旅游行業(yè)智慧旅游營(yíng)銷策略與方案
評(píng)論
0/150
提交評(píng)論