0-9.9V簡(jiǎn)易數(shù)控直流電源_第1頁(yè)
0-9.9V簡(jiǎn)易數(shù)控直流電源_第2頁(yè)
0-9.9V簡(jiǎn)易數(shù)控直流電源_第3頁(yè)
0-9.9V簡(jiǎn)易數(shù)控直流電源_第4頁(yè)
0-9.9V簡(jiǎn)易數(shù)控直流電源_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、PAGE 四川信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)說(shuō)明書(shū)設(shè)計(jì)題目: 簡(jiǎn)易數(shù)控直流電源的設(shè)計(jì) 專 業(yè): 應(yīng)用電子技術(shù) 班 級(jí): 應(yīng)電05-2班 學(xué) 號(hào): 0518241 姓 名: 楊艷 指導(dǎo)教師: 祝建科 年 月 日四川信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)(論文)任務(wù)書(shū)學(xué) 生姓 名楊艷學(xué)號(hào)0518241班級(jí)應(yīng)電05-2專業(yè)應(yīng)用電子技術(shù)設(shè)計(jì)(論文)題目簡(jiǎn)易數(shù)控直流電源的設(shè)計(jì)指導(dǎo)教師姓名職 稱工作單位及所從事專業(yè)聯(lián)系方式備 注祝建科講 師四川信息職業(yè)技術(shù)學(xué)題背景:1進(jìn)一步鞏固所學(xué)基礎(chǔ)知識(shí);2強(qiáng)化學(xué)生利用所學(xué)知識(shí)解決生產(chǎn)實(shí)際中的實(shí)際問(wèn)題;3通過(guò)設(shè)計(jì),提高學(xué)生的綜合分析能力,為今后在工作打下一定基礎(chǔ)。

2、4可在控制設(shè)備生產(chǎn)企業(yè)工作。設(shè)計(jì)內(nèi)容:1內(nèi)容:完成電路設(shè)計(jì)方案的選擇;單元電路的設(shè)計(jì);整機(jī)電路的原理分析;2時(shí)間安排:第12周:查找資料,選擇參考方案; 第34周:確定方案;第58周:查找資料,進(jìn)行單元電路的設(shè)計(jì); 第912周:整機(jī)電路整機(jī)與分析;第1314周:整理報(bào)告,確定初稿; 第1516周:檢查定稿;第1718周:答辯。主要參考文獻(xiàn)、資料:1 蘇文平,何希才主編.電子技術(shù)實(shí)踐與制作教程.國(guó)防工業(yè)出版社,20022 邱寄帆,唐程山主編.數(shù)字電子技術(shù).人民郵電出版社,20023 最新74系列IC特性代換手冊(cè).福建科學(xué)技術(shù)出版社,20004 全國(guó)在學(xué)生電子設(shè)計(jì)競(jìng)賽組委會(huì)編.北京理工大學(xué)出版社,

3、20055 李全利主編.單片機(jī)原理及應(yīng)用技術(shù)第2版. 高等教育出版社,20046 清源計(jì)算機(jī)工作室編著.Protel 99SE原理圖與PCB及仿真,20047 譚博學(xué),唐詩(shī)主編.集成電路原理及應(yīng)用.電子工業(yè)出版社,2003審批意見(jiàn)教研室負(fù)責(zé)人:年 月 日備注:任務(wù)書(shū)由指導(dǎo)教師填寫(xiě),一式二份。其中學(xué)生一份,指導(dǎo)教師一份。四川信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)目 錄 TOC o 1-3 h z u HYPERLINK l _Toc185914399 摘 要 PAGEREF _Toc185914399 h 1 HYPERLINK l _Toc185914400 第1章 緒 論 PAGEREF _Toc1859

4、14400 h 2 HYPERLINK l _Toc185914401 1.1研究背景及意義 PAGEREF _Toc185914401 h 2 HYPERLINK l _Toc185914402 1.2 國(guó)內(nèi)外發(fā)展現(xiàn)狀 PAGEREF _Toc185914402 h 2 HYPERLINK l _Toc185914403 1.3數(shù)控直流電源的應(yīng)用及特點(diǎn) PAGEREF _Toc185914403 h 3 HYPERLINK l _Toc185914404 第2章 硬件電路的設(shè)計(jì) PAGEREF _Toc185914404 h 4 HYPERLINK l _Toc185914405 2.1 數(shù)

5、控直流電源的組成 PAGEREF _Toc185914405 h 4 HYPERLINK l _Toc185914406 2.2 單元電路的設(shè)計(jì)及方案 PAGEREF _Toc185914406 h 4 HYPERLINK l _Toc185914407 2.2.1 輸出電路 PAGEREF _Toc185914407 h 4 HYPERLINK l _Toc185914409 2.2.2 數(shù)控部分 PAGEREF _Toc185914409 h 9 HYPERLINK l _Toc185914410 2.2.3 穩(wěn)壓電源 PAGEREF _Toc185914410 h 13 HYPERLIN

6、K l _Toc185914411 2.2.4 顯示電路 PAGEREF _Toc185914411 h 13 HYPERLINK l _Toc185914412 2.2.5 輸出電壓擴(kuò)展 PAGEREF _Toc185914412 h 13 HYPERLINK l _Toc185914413 2.3 數(shù)控直流電源的整機(jī)電路原理圖 PAGEREF _Toc185914413 h 15 HYPERLINK l _Toc185914414 2.4 數(shù)控直流電源電路的工作原理分析 PAGEREF _Toc185914414 h 16 HYPERLINK l _Toc185914415 第3章 軟件設(shè)

7、計(jì) PAGEREF _Toc185914415 h 17 HYPERLINK l _Toc185914416 3.1 程序設(shè)計(jì) PAGEREF _Toc185914416 h 17 HYPERLINK l _Toc185914417 3.1.1 80C31資源分配 PAGEREF _Toc185914417 h 17 HYPERLINK l _Toc185914418 3.1.2 程序流程設(shè)計(jì) PAGEREF _Toc185914418 h 17結(jié) 論 HYPERLINK l _Toc185914419 PAGEREF _Toc185914419 h 20 HYPERLINK l _Toc18

8、5914420 致 謝 PAGEREF _Toc185914420 h 21 HYPERLINK l _Toc185914421 參考文獻(xiàn) PAGEREF _Toc185914421 h 22 HYPERLINK l _Toc185914422 附錄 整機(jī)電路原理圖 PAGEREF _Toc185914422 h 23四川信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)第 PAGE 1 頁(yè) 共 23 頁(yè)摘 要隨著時(shí)代的發(fā)展,數(shù)字電子技術(shù)已經(jīng)普及到我們生活、工作、科研等各個(gè)領(lǐng)域,本設(shè)計(jì)完成一種數(shù)控直流穩(wěn)壓電源的設(shè)計(jì)。以80C31單片機(jī)為核心,集成運(yùn)算放大器和DAC0832數(shù)模轉(zhuǎn)換器構(gòu)成的具有深度負(fù)反饋的輸出可控直流電源

9、。穩(wěn)壓電源由三端集成穩(wěn)壓器(7805、7815、7915)給各單元電路提供所需的工作電壓。本系統(tǒng)由單片機(jī)做控制,輸出電壓在(09.9V)之間變化, 實(shí)現(xiàn)0.1V的步進(jìn)功能控制,輸出電壓采用LED共陰極數(shù)碼顯示。簡(jiǎn)易數(shù)控直流電源與傳統(tǒng)穩(wěn)壓電源相比具有操作方便、顯示穩(wěn)定度高、使用廣泛等特點(diǎn)。關(guān)鍵詞 單片機(jī)80C31;數(shù)模轉(zhuǎn)換器DAC0832;三端集成穩(wěn)壓器 四川信息職業(yè)技術(shù)學(xué)院畢業(yè)設(shè)計(jì)第 PAGE 18 頁(yè) 共 23頁(yè)第1章 緒 論1.1研究背景及意義傳統(tǒng)的多功能直流穩(wěn)壓電源功能簡(jiǎn)單、難控制、可靠性低、干擾大、精度低且體積大、復(fù)雜度高。普通直流穩(wěn)壓電源品種很多, 但均存在以下兩個(gè)問(wèn)題: 1.輸出電

10、壓是通過(guò)粗調(diào)(波段開(kāi)關(guān)) 及細(xì)調(diào)(電位器)來(lái)調(diào)節(jié)。這樣, 當(dāng)輸出電壓需要精確輸出, 或需要在一個(gè)小的范圍內(nèi)改變 (如1.05V 1.07V ) ,困難就較大。另外, 隨著使用時(shí)間的增加, 波段開(kāi)關(guān)及電位器難免接觸不良, 對(duì)輸出會(huì)有影響。2.穩(wěn)壓方式均是采用串聯(lián)型穩(wěn)壓電路, 對(duì)負(fù)載進(jìn)行限流或截流型保護(hù), 電路構(gòu)成復(fù)雜,穩(wěn)壓精度也不高。在家用電器和其他各類電子設(shè)備中,通常都需要穩(wěn)定的直流電壓供電。但在實(shí)際生活中,都是由220V 的交流電網(wǎng)供電。這就需要通過(guò)變壓、整流、濾波、穩(wěn)壓電路將交流電轉(zhuǎn)換成穩(wěn)定的直流電。濾波器用于濾除整流輸出電壓中的紋波,一般傳統(tǒng)電路由濾波扼流圈和電容器組成,若由晶體管濾波器

11、來(lái)替代,則可縮小直流電源的體積,減輕其重量,且晶體管濾波直流電源不需直流穩(wěn)壓器就能用作家用電器的電源,這既降低了家用電器的成本,又縮小了其體積,使家用電器小型化。傳統(tǒng)的直流穩(wěn)壓電源通常采用電位器和波段開(kāi)關(guān)來(lái)實(shí)現(xiàn)電壓的調(diào)節(jié),并由電壓表指示電壓值的大小。因此,電壓的調(diào)整精度不高,讀數(shù)欠直觀,電位器也易磨損.而基于單片機(jī)控制的直流穩(wěn)壓電源能較好地解決以上傳統(tǒng)穩(wěn)壓電源的不足。隨著科學(xué)技術(shù)的不斷發(fā)展,特別是計(jì)算機(jī)技術(shù)的突飛猛進(jìn),現(xiàn)代工業(yè)應(yīng)用的產(chǎn)品均需要有低紋波、寬調(diào)整范圍的高壓電源,特別是在一些高能物理領(lǐng)域,急需電腦或單片機(jī)控制的低紋波、寬調(diào)整范圍的電源。1.2 國(guó)內(nèi)外發(fā)展現(xiàn)狀從上世紀(jì)九十年代末起,隨著

12、對(duì)系統(tǒng)更高效率和更低功耗的需求,電信與數(shù)據(jù)通訊設(shè)備的技術(shù)更新推動(dòng)電源行業(yè)中直流/直流電源轉(zhuǎn)換器向更高靈活性和智能化方向發(fā)展。在80年代的第一代分布式供電系統(tǒng)開(kāi)始轉(zhuǎn)向到20世紀(jì)末更為先進(jìn)的第四代分布式供電結(jié)構(gòu)以及中間母線結(jié)構(gòu),直流/直流電源行業(yè)正面臨著新的挑戰(zhàn),即如何在現(xiàn)有系統(tǒng)加入嵌入式電源智能系統(tǒng)和數(shù)字控制。早在90年代中,半導(dǎo)體生產(chǎn)商們就開(kāi)發(fā)出了數(shù)控電源管理技術(shù),而在當(dāng)時(shí),這種方案的性價(jià)比與當(dāng)時(shí)廣泛使用的模擬控制方案相比處與劣勢(shì),因而無(wú)法被廣泛采用。由于板載電源管理的更廣泛應(yīng)用和行業(yè)能源節(jié)約和運(yùn)行最優(yōu)化的關(guān)注,電源行業(yè)和半導(dǎo)體生產(chǎn)商們便開(kāi)始共同開(kāi)發(fā)這種名為“數(shù)控電源”的新產(chǎn)品。 現(xiàn)今隨著直流

13、電源技術(shù)的飛躍發(fā)展, 整流系統(tǒng)由以前的分立元件和集成電路控制發(fā)展為微機(jī)控制, 從而使直流電源智能化, 具有遙測(cè)、遙信、遙控的三遙功能, 基本實(shí)現(xiàn)了直流電源的功能。1.3數(shù)控直流電源的應(yīng)用及特點(diǎn)本課題研究一種以單片機(jī)為核心的智能化高精度簡(jiǎn)易數(shù)控直流電源的設(shè)計(jì), 該電源采用三端固定輸出集成穩(wěn)壓器(78系列、79系列)。數(shù)控直流電源是一種常見(jiàn)的電子儀器也是電子技術(shù)常用的設(shè)備之一,廣泛應(yīng)用于電路,教學(xué)試驗(yàn)和科學(xué)研究等領(lǐng)域。目前使用的可控直流電源大部分是點(diǎn)動(dòng)的,利用分立元器件,體積大,效率低,可靠性差,操作不方便,故障率高。隨著電子技術(shù)的發(fā)展,各種電子,電器設(shè)備對(duì)電源的性能要求提高,電源不斷朝數(shù)字化,高

14、效率,模塊化和智能化發(fā)展。以單片機(jī)系統(tǒng)為核心而設(shè)計(jì)的新一代數(shù)控直流電源,它不但電路簡(jiǎn)單,結(jié)構(gòu)緊湊,價(jià)格低廉,性能優(yōu)越,而且由于單片機(jī)具有計(jì)算和控制能力,利用它對(duì)數(shù)據(jù)進(jìn)行各種計(jì)算,從而可排除和減少模擬電路引起的誤差,輸出電壓和限定電流采用輸入鍵盤方式,電源的外表美觀,操作使用方便,具有較高的使用價(jià)值,且兼?zhèn)潆p重過(guò)載保護(hù)及報(bào)警功能, 特別適用于各種有較高精度要求的場(chǎng)合。第2章 硬件電路的設(shè)計(jì)2.1 數(shù)控直流電源的組成簡(jiǎn)易數(shù)控直流電源由穩(wěn)壓電源部分、數(shù)字顯示部分、輸出部分、數(shù)控部分、“+”“-”按鍵四部分組成。電路框圖如圖2-1: 圖2-1 簡(jiǎn)易數(shù)控直流源原理框圖2.2 單元電路的設(shè)計(jì)及方案2.2.

15、1 輸出電路方案一由運(yùn)算放大器A,輸出調(diào)整管T以及基準(zhǔn)電源UR ,電阻網(wǎng)絡(luò)RN等組成的輸出電路如圖2-2:圖2-2 輸出電路由于運(yùn)放A的反向輸入端為“虛地”,故: UO=IfRf=IRRfn (2-1)通過(guò)由開(kāi)關(guān)量控制的電阻網(wǎng)絡(luò)的電流IR與輸出電壓成正比,這樣就可實(shí)現(xiàn)由開(kāi)關(guān)量控制輸出電壓。同時(shí)由于A、T和Rf、RN組成一個(gè)閉環(huán)負(fù)反饋系統(tǒng),因此可以達(dá)到穩(wěn)壓的目的。圖2-3 權(quán)阻網(wǎng)絡(luò)圖2-3為由4種阻值的電阻所構(gòu)成的權(quán)電阻網(wǎng)絡(luò)。圖中dA3dA0,dB3dB0分別為個(gè)位和十位的BCD數(shù)碼,它們控制8只開(kāi)關(guān)的投切。當(dāng)d=0時(shí),開(kāi)關(guān)斷路;d=1時(shí),開(kāi)關(guān)接通。個(gè)位和十位的基準(zhǔn)電壓值為10倍關(guān)系。這樣個(gè)位電

16、阻網(wǎng)絡(luò)的電流IA和十分位電阻網(wǎng)絡(luò)的電流IB組成了總電流IR。IR=IA+IB =(23dA3+22dA2+21dA1+20dA0)+0.1(23dB3+22dB2+21dB1+20dB0)= Dn (2-2)公式(2-2)中的Dn為個(gè)位和十分位的BCD數(shù)碼。而Uo=Dn (2-3)適當(dāng)?shù)倪x擇UR、Rf、R的數(shù)值,使 =0.1V (2-4)則可通過(guò)Dn實(shí)現(xiàn)對(duì)輸出電壓的0.1V步進(jìn)控制。方案二圖2-4是由三端固定輸出穩(wěn)壓器件7805、運(yùn)算放大器A和DAC電路所組成另一種可供選用的輸出電路。圖2-4 輸出電路方案二在該電路中U23=5V,Uo=U23+U3,若DAC的輸出為5V4.9V,則UO=09

17、.9V。該電路的穩(wěn)壓性能7805保證,步進(jìn)電壓由DAC輸入的數(shù)字量控制。這種電路輸出電壓的精度取決于7805輸出電壓的誤差;運(yùn)放的跟隨誤差以及DAC的積分非線性。步進(jìn)值的誤差直接與DAC的位數(shù)有關(guān)。圖2-5是DAC0832芯片部分引腳分布圖。圖2-5 DAC0832芯片部分引腳分布圖VCC:電源電壓,工作范圍+5V+15V,最佳工作狀態(tài)使用+15V。AGVD:模擬量電路的接地端,它始終與數(shù)字量地端相連。DGVD:數(shù)字量地。:片選信號(hào)端,低電平有效。和ILE端信號(hào)共同對(duì)端能否起作用進(jìn)行控制。ILE:允許輸入鎖存(高電平有效)。:寫(xiě)信號(hào)1,用以把數(shù)字?jǐn)?shù)據(jù)輸入并鎖存在寄存器中。在有效時(shí),必須端和IL

18、E端同進(jìn)有效。:寫(xiě)信號(hào)2,用于鎖存輸入寄存器中的數(shù)字式傳遞D/A寄存器中鎖存,端有效同時(shí)必須端有效。:傳遞控制信號(hào)用來(lái)控制。D0D7:八位數(shù)字輸入。D0端為最低位(LSB),D7為最高位(MSB)。IOUT1:DAC電流輸出1。當(dāng)DAC寄存器中全為1時(shí),輸出電流最大;當(dāng)DAC寄存器中全為0時(shí),輸出電流最小。 IOUT2:DAC電流輸出2。IOUT2為一常數(shù)與IOUT1之差,即IOUT1+IOUT2=常數(shù)。Rfb:反饋電阻。作為外部運(yùn)算放大器的分路反饋電阻。為DAC提供電壓輸出信號(hào)。 VREF:基準(zhǔn)電壓輸入(參考電壓互感器)。VREF可選擇在-10V+10V范圍內(nèi)。圖2-6 輸出電路方案為獲得雙

19、極性的輸出,圖2-6是輸出電路中的一種方案。該DAC電路為電壓輸出模式,所以 VREF=(U0DAC-5V)=Dn (2-5) U0DAC=(-1)5V (2-6)若采用8位DAC,則 U0DAC=(-1)5V (2-7)想要滿足U0DACmin=-5V,U0DACmax=+4.9V的要求,只要選取相應(yīng)的Dn值輸入DAC即可。圖2-7 輸出電路方案可以采用圖2-7所示的DAC和調(diào)整管結(jié)合的方案在此電路中 IOUT1=()=() (2-8) UO=(Rf+R5+R6) (2-9)若n=8,取R5+R6=41.2K,Rf=10k,則UO的步進(jìn)值為0.1V,且Dnmax=99時(shí),UOmax=9.9V

20、。表2-1為前兩種方案的比較,考慮到方案輸出電路比較簡(jiǎn)單,輸出電壓精度雖不會(huì)很高,但能滿足一般要求,并且使用微控制器(MCU)做控制功能靈活,所以采取這種方案。方案性能方 案 一方 案 二輸出電壓精度取決于電阻網(wǎng)絡(luò)各電阻阻值誤差,Rf精度,運(yùn)算放大器性能,調(diào)整管性能。精度易做高。取決于DAC性能,7805性能及運(yùn)算放大器性能。精度受控于DAC,主要靠提高DAC位數(shù)來(lái)提高精度。穩(wěn)壓性能本身為閉環(huán)電路 ,取決于環(huán)內(nèi)各環(huán)節(jié),高性能易做到,但電路較繁。取決于7805和運(yùn)算放大器、DAC的VR。主要由7805決定。數(shù)碼網(wǎng)絡(luò)外接分立元件,精度取決于各電阻,電路較復(fù)雜。電路簡(jiǎn)單,精度由DAC R-2R網(wǎng)絡(luò)決

21、定??刂齐娐房捎赡M開(kāi)關(guān)或繼電器切換,控制可由數(shù)字電路 或MCU 完成。一般均由MCU控制。保護(hù)功能外接(如限流)7805已具有過(guò)流、過(guò)熱保護(hù)。方案性能表2-1 兩種輸出方案的比較2.2.2 數(shù)控部分 數(shù)控部分應(yīng)具備的功能有:輸出電壓可預(yù)置,且能以“步進(jìn)”或“掃描”的工作方式加(“+”)或減(“”)。數(shù)控部分的輸出應(yīng)直接控制數(shù)碼電阻網(wǎng)絡(luò)各個(gè)開(kāi)關(guān)。方案一數(shù)字電路 根據(jù)輸出電壓以0.1V為單位在09.9V之間變化的要求,數(shù)控電路的核心應(yīng)該是一個(gè)百進(jìn)位的可預(yù)置可逆計(jì)數(shù)器,第一個(gè)計(jì)數(shù)脈沖相當(dāng)于0.1V 。該計(jì)數(shù)器可由兩個(gè)單獨(dú)的同步可預(yù)置加/減BCD計(jì)數(shù)器級(jí)聯(lián)而成。圖2-8為方案一的原理框圖。 圖2-8

22、 數(shù)控部分方案一 圖2-8中K1為“掃描/步進(jìn)”選擇開(kāi)關(guān)。當(dāng)撥至“掃描”方式時(shí),可逆計(jì)數(shù)器的時(shí)鐘f2HZ的振蕩器提供,此時(shí)計(jì)數(shù)器可以使輸出電壓以每秒約兩個(gè)0.1V的變化率增減。當(dāng)撥至“步進(jìn)”方式時(shí),每按下按鈕開(kāi)關(guān)SW一次,通過(guò)計(jì)數(shù)器可使輸出電壓變化0.1V。開(kāi)關(guān)K2為“增/減”控制。開(kāi)關(guān)K3為“正常/預(yù)置”選擇開(kāi)關(guān)。當(dāng)它置于“正?!蔽恢脮r(shí),可使“掃描”或“步進(jìn)”正常進(jìn)行;當(dāng)置于“預(yù)置”方式時(shí),計(jì)數(shù)將BCD兩位撥盤開(kāi)關(guān)輸入的數(shù)據(jù)置為計(jì)數(shù)值,此時(shí)輸出電壓應(yīng)為撥盤開(kāi)關(guān)的設(shè)定值。計(jì)數(shù)器的當(dāng)前計(jì)數(shù)值可由譯碼/驅(qū)動(dòng)/顯示器件加以顯示,此值即為輸出電壓的標(biāo)稱值。方案二 微控制器微控制器(Microcontr

23、ollerMCU)又稱單片機(jī),數(shù)控部分為MCU電路。MCU的芯片品種繁多,芯片的選擇應(yīng)考慮價(jià)格昂貴,軟件成熟,滿足功能要求等因素。權(quán)衡以上各點(diǎn),因此本設(shè)計(jì)選用80C31單片機(jī)。圖2-9為80C31芯片部分引腳分布圖。圖2-9 80C31芯片部分引腳分布圖P0口:作為分時(shí)復(fù)用的低8位地址/數(shù)據(jù)總線。P1口:通用的輸入/輸出接口。P2口:作為高8位地址總線。P3口:具有數(shù)據(jù)輸入/輸出功能外,同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。 TXD: 串行數(shù)據(jù)接收輸出引腳。RXD: 串行數(shù)據(jù)發(fā)送輸入引腳。: 片外數(shù)據(jù)存儲(chǔ)器“寫(xiě)”選通控制輸出。 : 外擴(kuò)展程存器時(shí)的“讀”選通信號(hào)。 ALE: 地址鎖存信號(hào)。

24、:接地。 下圖為MCU最小系統(tǒng)為核心而構(gòu)成的控制電路的方框圖,如圖2-10:圖2-10 MCU控制方框圖圖2-10中兩位BCD碼撥盤開(kāi)關(guān)將預(yù)置量輸入到MCU并口,兩位LED顯示電路由MCU串口送入數(shù)值(輸出電壓)。單獨(dú)設(shè)置的“增”“減”二個(gè)按鍵由并行口進(jìn)行檢測(cè)。DAC接收MCU數(shù)據(jù)總線傳送的數(shù)據(jù),并據(jù)以確定輸出電壓。在軟件的控制下,MCU開(kāi)機(jī)后先將預(yù)置值讀入,在送去顯示的同時(shí),送入DAC,并產(chǎn)生相同的輸出電壓。然后不斷循環(huán)檢測(cè)增減兩鍵是否按下。若檢測(cè)到有鍵按下,將使顯示值和輸出電壓相應(yīng)增減0.1V。若檢測(cè)到按鍵時(shí)間超過(guò)0.5s,則認(rèn)為需連續(xù)增減,即處于“掃描”方式。 表2-2為兩種控制方案的比

25、較。表2-2 兩種控制方案的比較。方案性能數(shù)字電路MCU控制精度兩者相同,僅取決于輸出電路控制方式一般靈活功能鍵數(shù)目至少需要“+”/“”“掃描/步進(jìn)/預(yù)置”等二個(gè)開(kāi)關(guān)及BCD撥盤開(kāi)關(guān)。只需BCD撥盤開(kāi)關(guān)的“+”“”兩個(gè)簡(jiǎn)單按鍵。元器件數(shù)目較多,電路較復(fù)雜。較少,電路簡(jiǎn)單。調(diào)試工具常規(guī)設(shè)備。必須具備仿真器。由于80C31片內(nèi)RAM僅有128B容量不夠所以要擴(kuò)展片外RAM,因此由80C31、74LS373和8KB的容量2764組成MCU最小系統(tǒng)。圖中74LS373為8位地址鎖存器。80C31的P0接口為低8位地址及數(shù)據(jù)總路線的分時(shí)復(fù)用引腳,需接地址鎖存器,將低8位的地址鎖存后再接到2764的A0A

26、7上,74LS373作為地址鎖存器。80C31的地址鎖存允許信號(hào)線ALE發(fā)生負(fù)跳變進(jìn),將低8位地址鎖存于74LS373中,P0接口就可作為數(shù)據(jù)總線使用了。2764的高位地址線有5條:A8A12,直接接到P2接口的P2.0P2.4即可,2764的輸出允許信號(hào)由80C31的片外ROM讀選通信號(hào)控制。圖2-11為8KB的擴(kuò)展電路。80C31無(wú)片內(nèi)ROM,所以應(yīng)接地,使用片外ROM。圖2-11 80C31擴(kuò)展2764程序存儲(chǔ)器2.2.3 穩(wěn)壓電源從電路簡(jiǎn)單、經(jīng)濟(jì)考慮,本設(shè)計(jì)采用三端固定輸出集成穩(wěn)壓器。采用7805、7815、7915作為它們的輸出電壓分別為5V、15V、15V,輸出電流為1.5A。直流

27、穩(wěn)壓電源采用橋式全波整流,單電容濾波,三端固定輸出集成穩(wěn)壓器件。輸出電路由7815提供+15V電壓,從而大大提高了電壓調(diào)整率和負(fù)載調(diào)整率等指標(biāo)。2.2.4 顯示電路顯示電路由兩個(gè)數(shù)碼管和兩個(gè)74LS164組成。兩個(gè)數(shù)碼管分別組成顯示電路的十位、個(gè)位,由于兩個(gè)數(shù)碼管至少需要14根I/O線,為節(jié)約資源,采用串行輸入并行輸出的74LS164進(jìn)行驅(qū)動(dòng)輸出。單片機(jī)的兩個(gè)并行口分別作為信號(hào)輸出口和時(shí)鐘控制信號(hào)。采用單片機(jī)的P3.2、P3.3作為控制加減的控制口。該實(shí)現(xiàn)方式是通過(guò)80C31串行輸入,再并行輸出到74LS164,再經(jīng)過(guò)74LS164并行輸出到數(shù)碼顯示管。顯示方式采用靜態(tài)顯示方式其優(yōu)點(diǎn)是顯示位數(shù)

28、多,顯示亮度大,顯示程序簡(jiǎn)單,80C31串口以移位寄存器來(lái)驅(qū)動(dòng)兩位LED共陰極數(shù)碼顯示器,其最大優(yōu)點(diǎn)是占用口資源少,僅二根口線。2.2.5 輸出電壓擴(kuò)展按題意應(yīng)指產(chǎn)生多種波形的輸出。方案一 MCU+DAC圖2-12產(chǎn)生多種波形的第一方案 圖2-12為產(chǎn)生多種波形的第一種方案的方框圖。MCU由并口讀入波形選擇開(kāi)關(guān),根據(jù)不同的波形,分時(shí)周而復(fù)始的輸出不同波形所對(duì)應(yīng)的數(shù)據(jù)。波形數(shù)據(jù)由DAC形成模擬信號(hào)輸出。必要時(shí)DAC經(jīng)濾波器輸出。每種波形均由2n個(gè)離散值所構(gòu)成,n為DAC的位數(shù),這些時(shí)間上的離散量,因DAC的模擬輸出的保持功能而連續(xù)。輸出信號(hào)的頻率 f= (2-10)Ts為MCU掃描并輸出一個(gè)完整

29、的波形參數(shù)所需的時(shí)間。波形參數(shù)通常以數(shù)據(jù)的形式存放于程序存儲(chǔ)器。方案二計(jì)數(shù)器+EPROM+DAC產(chǎn)生多種波形的第二種方案如圖2-13:圖2-13 產(chǎn)生多種波形的第二種方案振蕩器(OSC)產(chǎn)生掃描信號(hào),作為計(jì)數(shù)器的時(shí)鐘信號(hào)。計(jì)數(shù)器的計(jì)數(shù)值又做為波形參數(shù)存儲(chǔ)器EPROM的地址輸入。源源不斷的掃描信號(hào)使EPROM的地址逐次周而復(fù)始的遞增(減),從而輸出波形參數(shù)。波形參數(shù)以數(shù)據(jù)的形式經(jīng)DAC而形成輸出信號(hào)。圖中設(shè)計(jì)數(shù)器字長(zhǎng)為256,DAC一般采用8位。所以每個(gè)波形由256個(gè)離散值構(gòu)成,輸出信號(hào)頻率 f= (2-11)fosc為振蕩器輸出信號(hào)頻率。波形選擇開(kāi)關(guān)K選定EPROM的高位地址,不同頁(yè)存放不同波

30、形的數(shù)值。如K懸空時(shí),A8A11=0,某種波形的數(shù)據(jù)存放地址為000H0FFH。當(dāng)K值A(chǔ)8=1時(shí),另一種波形的存放地址為100H1FFH,其它依次類推。方案三 ICL8038函數(shù)發(fā)生器ICL8038函數(shù)發(fā)生器可利用最少的器件很容易地得到正弦波、方波和三角波。如圖2-14: 圖2-14 ICL8038的第三種方案該電路產(chǎn)生信號(hào)的頻率為 f= (2-12)圖2-14中兩只100K的電位器用以調(diào)整正弦波的失真。對(duì)上述三種波形稍加變換即可產(chǎn)生多種波形,表2-2為這三種方案的比較。 表2-2 三種方案的比較方案性能MCU+DAC計(jì)數(shù)器+EPROM+DACICL8038波形可產(chǎn)生任意形狀的波形三角波、方波

31、、正弦波電路較簡(jiǎn)單較復(fù)雜簡(jiǎn) 單其它占用MCU時(shí)間獨(dú)立工作獨(dú)立工作采用圖2-14的ICL8038電路,其中RA=RB=4.7K,C=0.1uF,電源為15V。輸出信號(hào)的頻率為 f=5769HZ (2-13)正弦波峰峰值約為7V,三角波幅度約為10V,方波幅度約為15V。2.3 數(shù)控直流電源的整機(jī)電路原理圖(參見(jiàn)附錄A)2.4 數(shù)控直流電源電路的工作原理分析本設(shè)計(jì)系統(tǒng)主要由單片機(jī)系統(tǒng)、鍵盤、數(shù)碼管顯示器、D/ A 轉(zhuǎn)換電路、直流穩(wěn)壓電路等幾部分組成,單片機(jī)系統(tǒng)選用80C31 型號(hào)單片機(jī),采用74LS373 作為電壓輸出的擴(kuò)展接口,2764作為鍵盤和顯示器的擴(kuò)展接口,內(nèi)含8K的ROM。首先,輸入直流

32、220V的電壓通過(guò)變壓器轉(zhuǎn)換為220V的交流電壓,經(jīng)過(guò)橋式全波整流、單電容濾波、再通過(guò)三端固定輸出集成穩(wěn)壓器產(chǎn)生穩(wěn)定電壓+15V、-15V、+5V。為各部分單元電路提供工作電壓,為了改善紋波特性,在輸入端加接電容;為了改善負(fù)載的瞬態(tài)響應(yīng),在輸出端加接電容。其次,兩位BCD碼撥盤開(kāi)關(guān)K3、K4設(shè)置輸出電壓好以后,經(jīng)80C31單片機(jī)從P1口輸入,“+”“-”鍵盤經(jīng)P3口控制,實(shí)現(xiàn)步進(jìn)功能,經(jīng)過(guò)單片機(jī)內(nèi)部電路處理,再?gòu)亩税褦?shù)據(jù)輸入到DAC0832的和端,進(jìn)行內(nèi)部集成電路進(jìn)行數(shù)模轉(zhuǎn)換,最后經(jīng)過(guò)差動(dòng)運(yùn)算放大器TL081輸出,顯示電路由80C31的TXD、RXD端控制,經(jīng)過(guò)74LS164串行輸入并行輸出到

33、LED共陰極數(shù)碼管,并顯示輸出電壓。第3章 軟件設(shè)計(jì)兩位BCD碼撥盤開(kāi)關(guān)K3、K4,用以設(shè)置輸出電壓。K3、K4輸入的P1口由電阻網(wǎng)絡(luò)RN上拉。設(shè)置為低電平有效?!?”“”鍵由10K電阻上拉,低電平有效輸入至P3.2和P3.3口線。軟件采用查詢方式訪問(wèn)這兩個(gè)鍵。3.1 程序設(shè)計(jì)3.1.1 80C31資源分配TXD、RXD 以串口方式0輸出接移位寄存器/顯示器。P3.2 “+” 鍵P3.3 “”鍵P0.0P0.3 預(yù)置數(shù)BCD碼輸入(低位十分位)P0.4P0.7 預(yù)置數(shù)BCD碼輸入(高位個(gè)位)FFFEH DAC 地址42H D輸出電壓數(shù)值寄存41H 40H 顯示緩沖寄存,BCD碼。3.1.2 程

34、序流程設(shè)計(jì)本設(shè)計(jì)的程序流程如圖3-1所示。電路上電程序啟動(dòng),首先進(jìn)行初始化工作,然后從BCD撥盤開(kāi)關(guān)取輸出電壓預(yù)置值,經(jīng)取反和十翻二進(jìn)制數(shù)轉(zhuǎn)換后存入寄存器42H。預(yù)置值經(jīng)串口輸出送往顯示器。由于輸出電壓數(shù)值是以0.1V做為基本單位的(即5V為50),所以送往顯示的數(shù)值自動(dòng)在高位加入小數(shù)點(diǎn)。以后輸出電壓值經(jīng)標(biāo)度變換后送DAC,由輸出電壓形成對(duì)應(yīng)的輸出電壓。程序?qū)z測(cè)有無(wú)鍵按下,若無(wú)鍵按下,則不斷地繼續(xù)檢測(cè),直到有鍵按下。檢測(cè)到有鍵按下后,首先延時(shí)20ms進(jìn)行去抖處理,再判別是“+”還是“”鍵?若為“+”鍵,則42H中的數(shù)據(jù)加1,再判斷是否已加至100,若是則42H復(fù)0,否則將數(shù)據(jù)送去顯示和輸出。

35、若判別為“”鍵,則數(shù)據(jù)減1,再判斷是否已減至FFH,若是則42H賦值為99;否則將數(shù)據(jù)送去顯示和輸出。只要點(diǎn)動(dòng)“+”“”鍵的時(shí)間小于0.5s,則每次步進(jìn)增減0.1V。若一直按鍵,只要時(shí)間超過(guò)0.5s,則不停的步進(jìn),直到松開(kāi)按鍵為止。圖3-1 程序設(shè)計(jì)流程圖源程序清單: ORG 0000H LJMP MAIN ORG FFFFH MAIN: MOV A,#00H ;80C31初始化 MOV R0,42H ;取預(yù)置數(shù) L1: MOV A,R0 ;取預(yù)顯示的數(shù) MOVC A,A+PC ;取出字型碼 MOVX DPTR,A ;送顯示 L2: MOV DPTR,#0DFFH MOV A,#60H MOV

36、X DPTR,A MOV DPTR,#7FFFH MOVX DPTR,A ;送DACSMKEY: MOV P1,#0FH ;置P1接口高4位作為“0”低4位輸入狀態(tài)。 MOV A,P1 ;讀P1接口 ANL A,#0FH ;屏蔽高4位 SJMP SMKEY ;去抖動(dòng) MOV A,P1 ANL A,#0FH CJNE A,#0FH,LOOP1 ;確認(rèn)有無(wú)鍵按下WKEY: MOV R0,42H DEC R0 CJNE 42H,FFH MOV 42H,99H,L2LOOP1: INC R0 CJNE 42H,0100H MOV 42H,00H SJMP L1 DL1: MOV R7,#02H ;延時(shí)子程序 DL: MOV R6,#0FFH DL6: DJNZ R6,DL6 DJNZ R7,DL RET結(jié) 論在我的畢業(yè)設(shè)計(jì)中,主要對(duì)簡(jiǎn)易數(shù)控直流電源電路進(jìn)行了簡(jiǎn)單的設(shè)計(jì)與闡述。本設(shè)計(jì)系統(tǒng)主要由硬件部分和軟件兩部分組成,以單片機(jī)為核心,控制整個(gè)電路工作。數(shù)模轉(zhuǎn)換器和集成運(yùn)算放大器構(gòu)成

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論