微機(jī)原理-第一章課下_第1頁(yè)
微機(jī)原理-第一章課下_第2頁(yè)
微機(jī)原理-第一章課下_第3頁(yè)
微機(jī)原理-第一章課下_第4頁(yè)
微機(jī)原理-第一章課下_第5頁(yè)
已閱讀5頁(yè),還剩61頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、計(jì)算機(jī)中的數(shù)制邏輯電路二進(jìn)制數(shù)的運(yùn)算及其加法電路二進(jìn)制編碼及常用術(shù)語(yǔ)本章主要內(nèi)容例題與習(xí)題返回1.1 計(jì)算機(jī)中的數(shù)制數(shù)制是人們利用符號(hào)來(lái)計(jì)數(shù)的科學(xué)方法。數(shù)制可以有很多種,但在計(jì)算機(jī)的設(shè)計(jì)和使用上常用的則為十進(jìn)制、二機(jī)制、八進(jìn)制和十六進(jìn)制。 數(shù)制的基和權(quán)數(shù)制所使用的數(shù)碼的個(gè)數(shù)稱為基,數(shù)制每一位所具有的值稱為權(quán)十進(jìn)制: 基為“10”,權(quán)為以10為底的冪, D二進(jìn)制: 基為“2”,權(quán)為以2為底的冪, B八進(jìn)制: 基為“8”,權(quán)為以8為底的冪, O十六進(jìn)制:基為“16”,權(quán)為以16為底的冪 H數(shù)制的基與權(quán)十進(jìn)制數(shù):二進(jìn)制數(shù):數(shù) 制 的 轉(zhuǎn) 換十進(jìn)制數(shù)轉(zhuǎn)換成二進(jìn)制代碼的方法: 對(duì)于十進(jìn)制數(shù)整數(shù)部分采用除

2、2取余法 對(duì)于十進(jìn)制數(shù)小數(shù)部分采用乘2取整法 例1:求十進(jìn)制數(shù)17的二進(jìn)制代碼例2:求十進(jìn)制數(shù)0.25的二進(jìn)制代碼思考:如何完成十進(jìn)制數(shù)向十六進(jìn)制數(shù)的轉(zhuǎn)換?下一頁(yè)例1:求十進(jìn)制數(shù)17的二進(jìn)制代碼被除數(shù) 除數(shù) 商 余數(shù) 17 2 8 1 8 2 4 0 4 2 4 0 2 2 1 010001D0D1D2D3D4方法總結(jié):對(duì)于十進(jìn)制數(shù)整數(shù)部分采用除2取余法返回例2:求十進(jìn)制數(shù)0.25的二進(jìn)制代碼被乘數(shù) 乘數(shù) 積 取整 0.25 2 0.5 0 0.5 2 1.0 1 0 01 D-1 D-20 D0方法總結(jié):對(duì)于十進(jìn)制數(shù)小數(shù)部分采用乘2取整法 返回?cái)?shù) 制 的 轉(zhuǎn) 換二進(jìn)制數(shù)11011.0111

3、冪24232221202-12-22-32-4 權(quán)1684210.50.250.125.0625 數(shù) 值16842100.250.125.0625十進(jìn)制數(shù)16 + 8 + 4 + 2 + 1 + 0 + .25 + .125 + .0625 = 27.4375例3:將二進(jìn)制代碼11011.0111轉(zhuǎn)換為十進(jìn)制數(shù)數(shù) 制 的 轉(zhuǎn) 換 例4: 將下面給出的二進(jìn)制數(shù)轉(zhuǎn)換成十六進(jìn)制的數(shù)注意二進(jìn)制數(shù)轉(zhuǎn)換為十六進(jìn)制數(shù)的方法: 從小數(shù)點(diǎn)開(kāi)始分別向左和向右把整數(shù)和小數(shù)部分每四位分段,每段分別轉(zhuǎn)換為一位。若整數(shù)最高位的一組不足位,則在其左邊補(bǔ)零;若小數(shù)最低位的一組不足位,則在其右邊補(bǔ)零00100000010110

4、10011111100100十六進(jìn)制數(shù)205A7E4二進(jìn)制數(shù)數(shù) 制 的 轉(zhuǎn) 換二進(jìn)制十進(jìn)制十六進(jìn)制二進(jìn)制十進(jìn)制十六進(jìn)制000000100088000111100199001022101010A001133101111B010044110012C010155110113D011066111014E011177111115F思考題為什么要用二進(jìn)制? 由于電路中通常有兩種穩(wěn)態(tài):導(dǎo)通與阻塞,飽和與截止,高電位與低電位,因此可采用二值電路來(lái)描述這種電路的狀態(tài)。采用二進(jìn)制,可利用電路進(jìn)行計(jì)數(shù)工作,而用二值電路來(lái)組成的計(jì)算機(jī),則有運(yùn)算迅速、電路簡(jiǎn)便、成本低廉等優(yōu)點(diǎn),所以要用二進(jìn)制。 為什么要用十六進(jìn)制?為了

5、簡(jiǎn)化二進(jìn)制的書(shū)寫,便于記憶。(1)11010(2)1101001、下列各二進(jìn)制數(shù)相當(dāng)于十進(jìn)制數(shù)的多少?答案:26(10)答案:52(10) 習(xí) 題返回1.2 邏輯電路1AYY = A1AYBY=A + B 非門(反向器) 或門 與門&AYBY=A B掌握: 與、或、非門邏輯符號(hào)和邏輯關(guān)系(真值表) 與非門、或非門的應(yīng)用其 它 邏 輯 電 路1AYB或非門Y = A + B與非門&AYBY = A B=1AYB異或門Y = AB + AB異或非門=1AYBY = AB + AB1AY1Y = A緩沖器或 運(yùn) 算表/達(dá)式:Y = A + B其可能結(jié)果如下:Y = 0 + 0 = 0Y = 0Y =

6、 0 + 1 = 1Y = 1 + 0 = 1Y = 1 + 1 = 1Y = 1結(jié)論:兩者皆偽者則結(jié)果必偽,有一為真則結(jié)果為真異或運(yùn)算 特點(diǎn):相同為0,相異為1表達(dá)式:Y = A B其可能結(jié)果如下:Y = 1 1 = 1Y = 1Y = 0 0 = 0Y = 1 0 = 0Y = 0 1 = 0Y = 0結(jié)論:兩者皆真者則結(jié)果必真,有一為偽則結(jié)果為偽與 運(yùn) 算非 運(yùn) 算這其實(shí)也是反向器的性質(zhì)。所以在電路實(shí)現(xiàn)上,反向器是反運(yùn)算的基本元件表達(dá)式:Y = A 返回1.3 二進(jìn)制數(shù)的運(yùn)算及其加法電路算術(shù)的四種基本運(yùn)算:加、減、乘、除。微型計(jì)算機(jī)中無(wú)專用的減法器,只有加法電路。問(wèn)題:如何完成算數(shù)的四則

7、運(yùn)算?試計(jì)算011與010之和結(jié)論:兩個(gè)二進(jìn)制數(shù)相加是通過(guò)逐位相加來(lái)實(shí)現(xiàn)的。二進(jìn)制數(shù)的加法運(yùn)算 0 A 1 A+) 0 B +)0 B 00 S 01 S 0 A 1 A+)1 B +) 1 B 01 S 10 SC二進(jìn)制數(shù)的加法運(yùn)算推廣:設(shè)兩個(gè)二進(jìn)制數(shù)分別為A=A3A2A1A0,B=B3B2B1B0兩數(shù)之和為S=S3S2S1S0S0=A0+B0 進(jìn)位C1S1=A1+B1+C1 進(jìn)位C2S2=A2+B2+C2 進(jìn)位C3S3=A3+B3+C3 進(jìn)位C4A+B=C4S3S2S1S0半加器電路要求: 有兩個(gè)輸入端,以供兩個(gè)代表數(shù)字(A0 , B0) 的電位輸入;有兩個(gè)輸入端,用來(lái)輸出總和 S0及進(jìn)位

8、C1 .真 值 表電路圖全加器電路p12加法電路設(shè)A1010,B1011,則可安排如下圖所示加法電路:二進(jìn)制數(shù)的減法運(yùn)算無(wú)專用的減法器,將減法運(yùn)算改變?yōu)榧臃ㄟ\(yùn)算例:實(shí)際時(shí)間2點(diǎn),手表指針停在11點(diǎn),11- 9=2,需倒撥 9,也可以11+ 3=12 + 2舍掉前面的12,這樣就將減法運(yùn)算改變?yōu)榧臃ㄟ\(yùn)算。A-B= A+(-B)=A+(B的補(bǔ)碼)二進(jìn)制數(shù)的減法運(yùn)算原理:將減數(shù)變成補(bǔ)碼后,再與被減數(shù)相加,其和(如有進(jìn)位的話,則舍去進(jìn)位)就是兩個(gè)數(shù)之差。什么是補(bǔ)碼?補(bǔ)碼反碼(原碼取反)()是進(jìn)位,舍去有符號(hào)數(shù)與負(fù)數(shù)的表示法八位二進(jìn)制數(shù)表示的范圍為0000000011111111(00HFFH)無(wú)符號(hào)數(shù)

9、:全部二進(jìn)制位皆表示數(shù),其對(duì)應(yīng)的十進(jìn)制數(shù)為0255,共256個(gè)數(shù)值;加法電路與減法電路針對(duì)電信號(hào)的高低來(lái)完成加減法,1為高電平、0為低電平,顯然,運(yùn)算器不管它是正數(shù)還是負(fù)數(shù)。問(wèn)題:在計(jì)算機(jī)系統(tǒng)中如何來(lái)表示有符號(hào)數(shù)呢?復(fù)習(xí)有符號(hào)數(shù)與負(fù)數(shù)的表示法 它們必須遵循以下的規(guī)則:假定X為正數(shù),Y為其相同絕對(duì)值的負(fù)數(shù),那么 X+Y=0 ;某一個(gè)數(shù)所代表的值在這個(gè)集中是唯一的;符號(hào)的引入不能影響運(yùn)算器的運(yùn)算; 運(yùn)算器是電路,它完成有符號(hào)數(shù)和無(wú)符號(hào)數(shù)表現(xiàn)的形式是一樣的。 帶符號(hào)二進(jìn)制數(shù)的表示及運(yùn)算計(jì)算機(jī)中的符號(hào)數(shù)可表示為: 符號(hào)位+真值 機(jī)器數(shù) “0” 表示正 “1” 表示負(fù)例: +52 = +0110100

10、= 0 0110100 符號(hào)位 真值 -52 = -0110100 = 1 0110100 符號(hào)位 真值符號(hào)數(shù)的表示:原碼:真值X的原碼記為X真,在原碼表示法中不論數(shù)的正負(fù),數(shù)值部分均保持原真值不變。反碼:真值X的反碼記為X反。正數(shù)的反碼同原碼。負(fù)數(shù)的反碼的數(shù)值部分為真值的各位按位取反。補(bǔ)碼:真值X的補(bǔ)碼記為X補(bǔ)。正數(shù)的補(bǔ)碼同原碼。負(fù)數(shù)的補(bǔ)碼的數(shù)值部分為真值的各位按位取反加1。原碼:最高位為符號(hào)位,用“0”表示正,用“1”表示負(fù);其余為真值部分優(yōu)點(diǎn): 真值和其原碼表示之間的對(duì)應(yīng)關(guān)系簡(jiǎn)單,容易理解缺點(diǎn): 計(jì)算機(jī)中用原碼進(jìn)行加減運(yùn)算比較困難,0的表示不唯一 8位數(shù)0的原碼:+0=0 0000000

11、 -0=1 0000000對(duì)一個(gè)機(jī)器數(shù)X:若X0 ,則 X反=X原若X0, 則X補(bǔ)= X反= X原若X0, 則X補(bǔ)= X反+1例: X= 52= 0110100 X原=10110100 X反=11001011 X補(bǔ)= X反+1=11001100通過(guò)引進(jìn)補(bǔ)碼,可將減法運(yùn)算轉(zhuǎn)換為加法運(yùn)算例:將一個(gè)用補(bǔ)碼表示的二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制數(shù)X補(bǔ)=0 0101110B 真值為:0101110B 正數(shù) 所以:X=+46X補(bǔ)=1 1010010B 真值為:-1010010B 負(fù)數(shù) 從而有:X=X補(bǔ)補(bǔ)=11010010補(bǔ) =-0101110 =-46對(duì)用補(bǔ)碼表示的二進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制: 1)求出真值 2)進(jìn)行二十轉(zhuǎn)

12、換 思考: 10000001 和11111111分別表示-1和-127嗎? 它表示的值取決于它與哪個(gè)正數(shù)相加等于零。也就是說(shuō)它的絕對(duì)值等于0與它的差 00000000 00000000 -10000001 -11111111 01111111 00000001 顯然, 10000001 表示 127, 11111111表示 -1.1. 可將其按位取反加1求它的絕對(duì)值(也稱為求補(bǔ))2. 也可以將最高位的1理解為-128,后面的值為正數(shù),兩者相加即為其表示的有符號(hào)數(shù)值。有符號(hào)數(shù)與負(fù)數(shù)的表示法求十進(jìn)制負(fù)數(shù)的二進(jìn)制(補(bǔ)碼)表示的兩種方法1、先寫出對(duì)應(yīng)的二進(jìn)制正數(shù)表示,然后對(duì)其求補(bǔ)運(yùn)算(按位取反后加1)

13、。例:求-13的二進(jìn)制補(bǔ)碼表示 ,先寫出對(duì)應(yīng)正值:00001101; 取反為 11110010 ;再加1則為111100112、用0減其對(duì)應(yīng)的正數(shù)表示,不考慮最高借位 00000000 -)00001101 11110011 也就是說(shuō)11110011 是-13的二進(jìn)制補(bǔ)碼表示(或 128 + 115 = 13) 求補(bǔ)運(yùn)算、互補(bǔ)、補(bǔ)碼1、對(duì)一個(gè)二進(jìn)制數(shù)按位求反后在末位加1的運(yùn)算稱為求補(bǔ)運(yùn)算。2、 有兩個(gè)二進(jìn)制數(shù)A、B,如果A+B=0(不考慮進(jìn)位),則稱A、B為互補(bǔ),已知A求B或者已知B求A皆可以用求補(bǔ)運(yùn)算來(lái)完成。3、補(bǔ)碼是表示數(shù)的一種方法,在計(jì)算機(jī)中常用補(bǔ)碼來(lái)表 示有符號(hào)數(shù)。當(dāng)運(yùn)算結(jié)果超出了有符

14、號(hào)數(shù)所能表示的范圍則稱為溢出。無(wú)符號(hào)數(shù)運(yùn)算不存在溢出問(wèn)題,只可能出現(xiàn)進(jìn)位,而溢出和進(jìn)位是兩個(gè)不同的概念。你的看法是?關(guān)于溢出和進(jìn)位基本概念:有符號(hào)8位二進(jìn)制數(shù)范圍: 10000000 01111111(-128 +127),運(yùn)算結(jié)果超出范圍時(shí)稱為溢出,實(shí)際上就是運(yùn)算結(jié)果出錯(cuò),因最高位為符號(hào)位,當(dāng)運(yùn)算結(jié)果超出時(shí)會(huì)使符號(hào)位改變。有符號(hào)16位二進(jìn)制數(shù)范圍:8000H 7FFFH(-32768 +32767)進(jìn)位當(dāng)兩個(gè)無(wú)符號(hào)8位二進(jìn)制數(shù)進(jìn)行運(yùn)算時(shí)其結(jié)果為9位二進(jìn)制數(shù)(有一進(jìn)位位CY), 兩個(gè)8位的二進(jìn)制數(shù)相加不可能超過(guò)9位,因而不存在溢出;(FFH+FFH=1FEH)同樣兩個(gè)無(wú)符號(hào)16位二進(jìn)制數(shù)進(jìn)行運(yùn)

15、算時(shí)其結(jié)果為17位二進(jìn)制數(shù)(有一進(jìn)位位CY), 兩個(gè)16位的二進(jìn)制數(shù)相加不可能超過(guò)17位,因而不存在溢出; (FFFFH+FFH=1FFFEH)關(guān)于溢出和進(jìn)位符號(hào)數(shù)運(yùn)算中的溢出問(wèn)題溢出判定準(zhǔn)則:兩個(gè)同符號(hào)二進(jìn)制數(shù)相加或異符號(hào)數(shù)相減時(shí),若最高位進(jìn)位次高位進(jìn)位1,則結(jié)果產(chǎn)生溢出。思考:有符號(hào)數(shù)的溢出判定準(zhǔn)則是?例:若:X=01111000, Y=01101001 則:X+Y= 注意: 次高位向最高位有進(jìn)位,而最高位向前無(wú)進(jìn)位,產(chǎn)生溢出。(事實(shí)上,兩正數(shù)相加得出負(fù)數(shù),結(jié)果出錯(cuò))可控反相器電路利用補(bǔ)碼可將減法變?yōu)榧臃▉?lái)運(yùn)算,可控反相器就是為此而設(shè)計(jì)的,其特點(diǎn)是:兩者相同則輸出為0,兩者不同則輸出為1。

16、=1B0SUBY0可控反相器SUBB0YY與B0的關(guān)系000相同同相11相同101相反反相10相反異或門二進(jìn)制的運(yùn)算及其加法電路=1FAC4A3B3S3C3=1FAA2B2S2C2=1FAA1B1S1C1=1FAA0B0S0C0SUB返回A-B=A+(-B),-B為B的補(bǔ)碼,當(dāng)SUB=1時(shí)進(jìn)行減法運(yùn)算,上面電路可使B轉(zhuǎn)換成B的補(bǔ),即(-B),當(dāng)SUB=0時(shí)做加法運(yùn)算,B的值不變。1.4 二進(jìn)制編碼及常用術(shù)語(yǔ)BCD碼(8421BCD碼):用二進(jìn)制編碼表示的十進(jìn)制數(shù) ,計(jì)數(shù)規(guī)律與十進(jìn)制相同“逢十進(jìn)一” ASCII碼:美國(guó)國(guó)家標(biāo)準(zhǔn)信息交換碼,用七位二進(jìn)制編碼表示128個(gè)字符和符號(hào)BCD碼壓縮BCD碼

17、 用4位二進(jìn)制碼表示一位十進(jìn)制數(shù)擴(kuò)展BCD碼 用8位二進(jìn)制碼表示一位十進(jìn)制數(shù)注意:BCD碼數(shù)的正負(fù)不在數(shù)碼中體現(xiàn)BCD碼與二進(jìn)制數(shù)之間的轉(zhuǎn)換先轉(zhuǎn)換為十進(jìn)制數(shù),再轉(zhuǎn)換二進(jìn)制數(shù);反之亦然例:(0001 0001 .0010 0101)BCD =11 .25 =(1011 .01) B為什么使用BCD碼調(diào)整?例一:8+715。用組合的BCD碼表示,運(yùn)算結(jié)果為: 000001110000100000001111 即結(jié)果為0FH。在BCD碼中,只允許09這10個(gè)數(shù)字出現(xiàn),0FH不代表任何BCD 碼,因此要對(duì)它進(jìn)行變化。BCD碼應(yīng)該是逢10進(jìn)1,但計(jì)算機(jī)在這里是逢16進(jìn)1。因此,可以在個(gè)位上補(bǔ)一個(gè)6,讓其

18、產(chǎn)生進(jìn)位,而此進(jìn)位作為十位數(shù)出現(xiàn)。000001100000111100010101 結(jié)論:如果一位BCD碼所對(duì)應(yīng)的4位二進(jìn)制超過(guò)9,那就應(yīng)該補(bǔ)上一個(gè)6產(chǎn)生進(jìn)位來(lái)進(jìn)行調(diào)整。BCD碼調(diào)整例題9+918,用組合BCD碼表示運(yùn)算過(guò)程為:000010010000100100010010000001100001001000011000錯(cuò)誤的原因是:計(jì)算機(jī)在運(yùn)算時(shí),遇到低四位往高四位產(chǎn)生進(jìn)位時(shí)是按照逢16進(jìn)1的規(guī)則進(jìn)行的,但BCD 碼要求逢10進(jìn)1,可見(jiàn),BCD碼運(yùn)算時(shí)只要產(chǎn)生了進(jìn)位,就會(huì)丟失一個(gè)6。因此,在出現(xiàn)進(jìn)位時(shí)要進(jìn)行調(diào)整。 結(jié)論:對(duì)BCD碼進(jìn)行運(yùn)算時(shí),只要AF變?yōu)?就要在低6位進(jìn)行調(diào)整。結(jié)果為12

19、00000011 03 00000011 03+00000001 +01 + 00001000 +08 00000100 04 00001011 11 + 00000110 00010001BCD碼調(diào)整示例03+01=04,沒(méi)出現(xiàn)大于9的數(shù),也沒(méi)有半進(jìn)位,結(jié)果正確,不需調(diào)整 03+08=11低4位出現(xiàn)大于9的數(shù),需加6進(jìn)行調(diào)整 00001000 08 00110000 30+00001001 +09 + 10000000 +80 00010001 17 10110000 110 +00000110 + 01100000 00010111 (1)00010000BCD碼調(diào)整示例08+09=17,

20、沒(méi)出現(xiàn)大于9的數(shù),有半進(jìn)位,結(jié)果 不正確,需加6進(jìn)行調(diào)整 30+80=110高4位出現(xiàn)大于9的數(shù),需加60進(jìn)行調(diào)整38+89=127,高4位與低4位皆出現(xiàn)大于9 或者有進(jìn)位,結(jié)果不正確,需加66進(jìn)行調(diào)整 00111000 38 +10001001 +89 11000001 127 +01100110 (1)00100111 顯然,壓縮BCD碼調(diào)整共有需加00H、06H、60H和加66H四種情況BCD碼調(diào)整示例調(diào)整原理總結(jié): 凡是遇上某4位二進(jìn)制碼對(duì)應(yīng)的BCD碼大于9時(shí),則加6進(jìn)行調(diào)整;凡是遇上低4位產(chǎn)生了進(jìn)位時(shí),則加6進(jìn)行調(diào)整。 當(dāng)對(duì)多個(gè)字節(jié)進(jìn)行BCD碼運(yùn)算時(shí),如果低位字節(jié)往高位字節(jié)產(chǎn)生進(jìn)位則

21、CF1,而當(dāng)一個(gè)字節(jié)的低4位往高4位產(chǎn)生進(jìn)位時(shí),AF1。十進(jìn)制調(diào)整指令會(huì)根據(jù)CF和AF的值判斷是否進(jìn)行“加6調(diào)整”,并進(jìn)行具體的調(diào)整操作。然后,程序再對(duì)高位字節(jié)進(jìn)行運(yùn)算,再進(jìn)行十進(jìn)制調(diào)整。ASCII碼字符的編碼,一般用7位二進(jìn)制碼表示。在需要時(shí)可在D7位加校驗(yàn)位。熟悉數(shù)字及字母的ASCII碼數(shù)字09:編碼是01100000111001,它們的高3位均是011,后4位正好與其對(duì)應(yīng)的二進(jìn)制代碼相符;英文字母AZ:ASCII碼從1000001(41H)開(kāi)始順序遞增;字母az:ASCII碼從1100001(61H)開(kāi)始順序遞增。 ASCII碼ASCII碼的校驗(yàn)奇校驗(yàn) 加上校驗(yàn)位后編碼中“1”的個(gè)數(shù)為奇

22、數(shù)。 例:A的ASCII碼是41H(1000001B), 以奇校驗(yàn)傳送則為C1H(11000001B)偶校驗(yàn) 加上校驗(yàn)位后編碼中“1”的個(gè)數(shù)為偶數(shù)。 A若以偶校驗(yàn)傳送則為41H (01000001B)數(shù)制、數(shù)碼的意義 單純的數(shù)字是沒(méi)有具體意義的,必須在使用它時(shí)賦予它一定的規(guī)則或約定,所有使用者都必須遵從這些規(guī)則或約定,因此在處理數(shù)據(jù)時(shí)首先要搞清楚是什么類型的數(shù)據(jù),它有什么規(guī)則或約定,然后處理的數(shù)據(jù)才能得到正確的結(jié)果。也就是說(shuō),有符號(hào)數(shù)必須遵從有符號(hào)數(shù)的規(guī)則,BCD碼必須遵從BCD碼的規(guī)則等。計(jì)算機(jī)中常用術(shù)語(yǔ)bit1Mb=10241024bit=220bit1Gb=230bit=1024Mb1T

23、b=240bit=1024GbByte1 Byte=8bit,1KB=1024 Byteword:表示字長(zhǎng),有1bit,4bit,8bit等返回 例題講解例1 : 完成下列各式補(bǔ)碼數(shù)的運(yùn)算,并根據(jù)結(jié)果設(shè)置標(biāo)志位SF、ZF、CF和OF,指出運(yùn)算結(jié)果有效否。 0100,1001+ 1001, 1101 1110,0110(1)0100,1001b + 1001,1101b 解:SFZFCFOF1000運(yùn)算結(jié)果有效返回(2)0100,0001b - 1010,1011b 解: 0100,0001+ 0101, 0101 1001,0110SFZFCFOF1011運(yùn)算結(jié)果無(wú)效1010,10110101

24、, 0101求補(bǔ) 例題講解(3)0A95Bh + 8CA2hSFZFCFOF0011運(yùn)算結(jié)果無(wú)效 A 9 5 B+ 8 C A 2 3 5 F D 解:1(4)6531h - 42DAh 解:SFZFCFOF0000運(yùn)算結(jié)果有效 6 5 3 1+ B D 2 6 2 2 5 7142DABD26求補(bǔ)返回例2 : 把字符串“PART1:Memory”存放在1100 開(kāi)始的存儲(chǔ)區(qū)中,請(qǐng)寫出字符串的存儲(chǔ)情況。 例題講解 解: P A R T 1 : M e m o r y ASCII碼: 50 41 52 54 31 3A 4D 65 6D 6F 72 79 地址: 1100 1101 1102 1103 1104 1105 1106 1107 1108 1109 110A 110BIBM PC 的存儲(chǔ)器按字節(jié)編址,一個(gè)ASCII碼占用一個(gè)字節(jié)字符:返回例3 : 寫出十進(jìn)制數(shù)3590的非壓縮BCD碼和壓縮的BCD碼, 并分別把它們存入數(shù)據(jù)區(qū)UNPAK和PAKED. 例題講解 解:0 00 90 50 3 UNPAK + 0 + 1 + 2 + 3 + 0 + 19 0PAKED3 5返回例題講解例4 :請(qǐng)用二進(jìn)制寫出下列十進(jìn)制數(shù)所對(duì)應(yīng)的BCD碼,并完成這兩個(gè)BCD碼的相加運(yùn)算 a. 15 b. 27 00

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論