數(shù)字電子技術(shù)基礎(chǔ)第五期末知識(shí)點(diǎn)總結(jié)歸納_第1頁
數(shù)字電子技術(shù)基礎(chǔ)第五期末知識(shí)點(diǎn)總結(jié)歸納_第2頁
數(shù)字電子技術(shù)基礎(chǔ)第五期末知識(shí)點(diǎn)總結(jié)歸納_第3頁
數(shù)字電子技術(shù)基礎(chǔ)第五期末知識(shí)點(diǎn)總結(jié)歸納_第4頁
數(shù)字電子技術(shù)基礎(chǔ)第五期末知識(shí)點(diǎn)總結(jié)歸納_第5頁
已閱讀5頁,還剩5頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

數(shù)字電子技術(shù)基礎(chǔ)第五版期末知識(shí)點(diǎn)總結(jié)歸納數(shù)字電子技術(shù)基礎(chǔ)第五版期末知識(shí)點(diǎn)總結(jié)歸納10/10數(shù)字電子技術(shù)基礎(chǔ)第五版期末知識(shí)點(diǎn)總結(jié)歸納精心整理數(shù)電課程各章要點(diǎn)第一、二章邏輯代數(shù)基礎(chǔ)知識(shí)要點(diǎn)各種進(jìn)制間的變換,邏輯函數(shù)的化簡(jiǎn)。一、二進(jìn)制、十進(jìn)制、十六進(jìn)制數(shù)之間的變換;二進(jìn)制數(shù)的原碼、反碼和補(bǔ)碼.8421碼二、邏輯代數(shù)的三種基本運(yùn)算以及5種復(fù)合運(yùn)算的圖形符號(hào)、表達(dá)式和真值表:與、或、非三、邏輯代數(shù)的基本公式和常用公式、基本規(guī)則邏輯代數(shù)的基本公式邏輯代數(shù)常用公式:吸取律:AABA消去律:AABABABABA節(jié)余項(xiàng)定律:ABACBCABAC反演定律:ABABABA?B基本規(guī)則:反演規(guī)則和對(duì)偶規(guī)則,例1-5四、邏輯函數(shù)的三種表示方法及其互相變換邏輯函數(shù)的三種表示方法為:真值表、函數(shù)式、邏輯圖會(huì)從這三種中任一種推出其他二種,詳見例1-7五、邏輯函數(shù)的最小項(xiàng)表示法:最小項(xiàng)的性質(zhì);例1-8六、邏輯函數(shù)的化簡(jiǎn):要求按步驟解答1、利用公式法對(duì)邏輯函數(shù)進(jìn)行化簡(jiǎn)精心整理精心整理2、利用卡諾圖對(duì)邏輯函數(shù)化簡(jiǎn)3、擁有拘束條件的邏輯函數(shù)化簡(jiǎn)例利用公式法化簡(jiǎn)F(ABCD)ABCABADCBD解:F(ABCD)ABCABADCBD例1.2利用卡諾圖化簡(jiǎn)邏輯函數(shù)Y(ABCD)m(3、5、6、7、10)拘束條件為m(0、1、2、4、8)解:函數(shù)Y的卡諾圖以下:第三章門電路知識(shí)要點(diǎn)各種門的符號(hào),邏輯功能。一、三極管開、關(guān)狀態(tài)1、飽和、截止條件:截止:VbeVT,飽和:iBIBSICS2、反相器飽和、截止判斷二、基本門電路及其邏輯符號(hào)與門、或非門、非門、與非門、OC門、三態(tài)門、異或;傳輸門、OC/OD門及三態(tài)門的應(yīng)用三、門電路的外特點(diǎn)1、輸入端電阻特點(diǎn):對(duì)TTL門電路而言,輸入端經(jīng)過電阻接地或低電平時(shí),由于輸入電流流過該電阻,會(huì)在電阻上產(chǎn)生壓降,當(dāng)電阻大于開門電阻時(shí),相當(dāng)于邏輯高電平。習(xí)題2-75、輸出低電平負(fù)載電流IOL6、扇出系數(shù)NO一個(gè)門電路驅(qū)動(dòng)同類門的最大數(shù)目精心整理精心整理第四章組合邏輯電路知識(shí)要點(diǎn)組合邏輯電路的解析、設(shè)計(jì),利用集成芯片實(shí)現(xiàn)邏輯函數(shù)。(74138,74151等)一、組合邏輯電路:任意時(shí)辰的輸出不過取決于該時(shí)辰的輸入,與電路原來的狀態(tài)沒關(guān)二、組合邏輯電路的解析方法(按步驟解題)三、若干常用組合邏輯電路譯碼器(74LS138)全加器(真值表解析)數(shù)據(jù)選擇器(74151和74153)四、組合邏輯電路設(shè)計(jì)方法(按步驟解題)1、用門電路設(shè)計(jì)2、用譯碼器、數(shù)據(jù)選擇器實(shí)現(xiàn)例3.1試設(shè)計(jì)一個(gè)三位多數(shù)表決電路1、用與非門實(shí)現(xiàn)2、用譯碼器74LS138實(shí)現(xiàn)3、用雙4選1數(shù)據(jù)選擇器74LS153解:1.邏輯定義設(shè)A、B、C為三個(gè)輸入變量,Y為輸出變量。邏輯1表示同意,邏輯0表示不同樣意,輸出變量Y=1表示事件成立,邏輯0表示事件不成立。2.依照題意列出真值表如表3.1所示表3.經(jīng)化簡(jiǎn)函數(shù)Y的最簡(jiǎn)與或式為:YABBCAC4.用門電路與非門實(shí)現(xiàn)函數(shù)Y的與非—與非表達(dá)式為:YABBCAC精心整理精心整理邏輯圖以下:5.用3—8譯碼器74LS138實(shí)現(xiàn)由于74LS138為低電平譯碼,故有Yimi由真值表得出Y的最小項(xiàng)表示法為:用74LS138實(shí)現(xiàn)的邏輯圖以下:6.用雙4選1的數(shù)據(jù)選擇器74LS153實(shí)現(xiàn)74LS153內(nèi)含二片雙4選1數(shù)據(jù)選擇器,由于該函數(shù)Y是三變量函數(shù),故只需用一個(gè)4選1即可,若是是4變量函數(shù),則需將二個(gè)4選1級(jí)連后才能實(shí)現(xiàn)74LS153輸出Y1的邏輯函數(shù)表達(dá)式為:三變量多數(shù)表決電路Y輸出函數(shù)為:令A(yù)=A1,B=A0,C用D10~D13表示,則∴D10=0,D11=C,D12=C,D13=1邏輯圖以下:7.用151實(shí)現(xiàn)注:實(shí)驗(yàn)中1位二進(jìn)制全加器設(shè)計(jì):用138或153如何實(shí)現(xiàn)?1位二進(jìn)制全減器呢?第五章觸發(fā)器知識(shí)要點(diǎn)考題種類:寫特點(diǎn)方程,畫波形圖。一、觸發(fā)器:能儲(chǔ)蓄一位二進(jìn)制信號(hào)的單元二、各種觸發(fā)器框圖、功能表和特點(diǎn)方程RS:Qn1SRQnSR=0JK:Qn1JQnKQn精心整理精心整理D:Qn1DT:Qn1TQnTQnT':Qn1Qn三、各種觸發(fā)器動(dòng)作特點(diǎn)及波形圖畫法基本RS觸發(fā)器:SD、RD每一變化對(duì)輸出均產(chǎn)生影響時(shí)鐘控制RS觸發(fā)器:在CP高電平時(shí)期R、S變化對(duì)輸出有影響主從JK觸發(fā)器:在CP=1時(shí)期,主觸發(fā)器狀態(tài)隨R、S變化。CP下降沿,從觸發(fā)器按主觸發(fā)器狀態(tài)翻轉(zhuǎn)。在CP=1時(shí)期,JK狀態(tài)應(yīng)保持不變,否則會(huì)產(chǎn)生一次狀態(tài)變化。T'觸發(fā)器:Q是CP的二分頻邊沿觸發(fā)器:觸發(fā)器的次態(tài)僅取決于CP(上升沿/下降沿)到達(dá)時(shí)輸入信號(hào)狀態(tài)。四、觸發(fā)器變換D觸發(fā)器和JK觸發(fā)器變換成T和T’觸發(fā)器第六章時(shí)序邏輯電路知識(shí)要點(diǎn)考題種類:解析邏輯電路,設(shè)計(jì)N進(jìn)制。一、時(shí)序邏輯電路的組成特點(diǎn):任一時(shí)辰的輸出信號(hào)不但取決于該時(shí)辰的輸入信號(hào),還和電路原狀態(tài)有關(guān)。時(shí)序邏輯電路由組合邏輯電路和儲(chǔ)藏電路組成。二、同步時(shí)序邏輯電路的解析方法(按步驟解題)邏輯圖→寫出驅(qū)動(dòng)方程→寫出狀態(tài)方程→寫出輸出方程→寫出狀態(tài)變換表畫出狀態(tài)變換圖說明邏輯功能,判斷自啟動(dòng)。(詳見例5-1)三、典型時(shí)序邏輯電路精心整理精心整理移位寄存器及移位寄存器型計(jì)數(shù)器。用T觸發(fā)器組成二進(jìn)制加法計(jì)數(shù)器組成方法。T0=1T1=Q0···Ti=Qi-1Qi-2···Q1Q0集成計(jì)數(shù)器框圖及功能表的理解4位同步二進(jìn)制計(jì)數(shù)器74LS161:異步清0(低電平),同步置數(shù),CP上升沿計(jì)數(shù),功能表4位同步十進(jìn)制計(jì)數(shù)器74LS160:同74LS161同步十六進(jìn)制加/減計(jì)數(shù)器74LS191:無清0端,只有異步預(yù)置端,功能表雙時(shí)鐘同步十六進(jìn)制加減計(jì)數(shù)器74LS193:有二個(gè)時(shí)鐘CPU,CPD,異步置0(H),異步預(yù)置(L)四、時(shí)序邏輯電路的設(shè)計(jì)(按步驟解題)1.用觸發(fā)器組成同步計(jì)數(shù)器的設(shè)計(jì)方法及設(shè)計(jì)步驟(例5-3)邏輯抽象→狀態(tài)變換圖→畫出次態(tài)以及各輸出的卡諾圖→利用卡諾圖求狀態(tài)方程和驅(qū)動(dòng)方程、輸出方程→檢查自啟動(dòng)(如不能夠自啟動(dòng)則應(yīng)更正邏輯)→畫邏輯圖2.用集成計(jì)數(shù)器組成任意進(jìn)制計(jì)數(shù)器的方法反響置0法:若是集成計(jì)數(shù)器有清零端,則可控制清零端來改變計(jì)數(shù)長(zhǎng)度。若是是異步清零端,則N進(jìn)制計(jì)數(shù)器可用第N個(gè)狀態(tài)譯碼產(chǎn)生控制信號(hào)控制清零端,若是是同步清零,則用第N-1個(gè)狀態(tài)譯碼產(chǎn)生控制信號(hào),產(chǎn)生控制信號(hào)時(shí)應(yīng)注意清零端時(shí)高電平還是低電平。精心整理精心整理反響置數(shù)法:控制預(yù)置端來改變計(jì)數(shù)長(zhǎng)度。若是異步預(yù)置,則用第N個(gè)狀態(tài)譯碼產(chǎn)生控制信號(hào)假好像步預(yù)置,則用第N-1個(gè)狀態(tài)譯碼產(chǎn)生控制信號(hào),也應(yīng)注意預(yù)置端是高電平還是低電平。兩片間進(jìn)位信號(hào)產(chǎn)生:有串行進(jìn)位和并行進(jìn)位二種方法詳見例5-5至5-8第七八章可編程邏輯器件知識(shí)要點(diǎn)一、半導(dǎo)體儲(chǔ)藏器的分類及功能(認(rèn)識(shí))從功能上分二、半導(dǎo)體儲(chǔ)藏器結(jié)構(gòu)(認(rèn)識(shí))ROM、RAM結(jié)構(gòu)框圖以及兩者差異三、RAM儲(chǔ)藏器容量擴(kuò)展儲(chǔ)藏容量的計(jì)算容量的擴(kuò)展:位擴(kuò)展:增加數(shù)據(jù)位;字?jǐn)U展:增加儲(chǔ)藏單元第十章脈沖波形產(chǎn)生和整形知識(shí)要點(diǎn)施密特觸發(fā)器的,單穩(wěn)態(tài)觸發(fā)器,多謝振蕩器的特點(diǎn)以及功能。要點(diǎn):555電路及其應(yīng)用一、用555組成多諧振蕩器電路組成如圖6.5所示圖電路參數(shù):充電:(R1+R2)C放電:R2C周期:T=(R1+2R2)Cln2精心整理精心整理占空比:

tw1R1R2qTR12R2二、用555電路組成施密特觸發(fā)器電路如圖6.1所示回差計(jì)算VT2VCC,VT1VCC33回差VVTVT對(duì)應(yīng)Vi輸入波形、輸出波形如圖6.2所示三、用555電路組成單穩(wěn)電路電路如圖6.3所示穩(wěn)態(tài)時(shí)VO=0。Vi2有負(fù)脈沖觸發(fā)時(shí)VO=1。脈寬參數(shù)計(jì)算波形如圖6.4所示第十二章數(shù)模和模數(shù)變換知識(shí)要點(diǎn)一、D/A變換器D/A變換器的一般形式為:VO=KDi,K為比率系數(shù),Di為輸入的二進(jìn)制數(shù),D/A轉(zhuǎn)換器的電路結(jié)構(gòu)主要看有權(quán)電阻、權(quán)電流、權(quán)電容以及開關(guān)樹型D/A變換器。權(quán)電阻及倒T型電阻網(wǎng)絡(luò)D/A變換器輸出電壓和輸入二進(jìn)制數(shù)之間關(guān)系的推導(dǎo)過程。衡量轉(zhuǎn)變器性能的兩個(gè)主要標(biāo)志。二、A/D變換器A/D變換器基根源理精心整理精心整理取樣定理:為保證取樣后的信號(hào)不失真恢復(fù)變量信號(hào),設(shè)采樣頻率為fS,原信號(hào)最高頻率為fmax,則fS2fmax。A/D變換器過程:采樣、保持、量化、編碼典型A/D變換器的工作原理逐次逼近型A/D變換器原理計(jì)數(shù)型A/D變換器原理典型例題:7.請(qǐng)用74LS138設(shè)計(jì)一個(gè)三變量的多數(shù)表決電路。詳盡要求以下:1)輸入變量A、B、C為高電平時(shí)表示同意提案2)當(dāng)有多數(shù)同意票時(shí)提案經(jīng)過,輸出高電平74LS138的引腳圖以下,能夠附加必要的門電路:ABCYAVccXYZAVCCXYZFXYZXYZ用一個(gè)3線–8線譯碼器實(shí)現(xiàn)函數(shù)74138工作條件:BBY00000CY1&G1=1,G2A=G2B=0Y0010G2AY2解析以下圖所示的時(shí)序邏輯電路,試畫出其狀態(tài)圖和在CP脈沖作用下Q3、Q2、Q1、0100Q0的波形,并指出計(jì)數(shù)器的模是多少?0111解析以下圖所示電路的邏輯功能。(設(shè)初始狀態(tài)為000)1000(1).驅(qū)動(dòng)方程:1011(2).狀態(tài)方程:(3).輸出方程:

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論