模擬與數(shù)字電路實驗課件_第1頁
模擬與數(shù)字電路實驗課件_第2頁
模擬與數(shù)字電路實驗課件_第3頁
模擬與數(shù)字電路實驗課件_第4頁
模擬與數(shù)字電路實驗課件_第5頁
已閱讀5頁,還剩163頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

電子線路實驗課件電子線路實驗室1電子線路實驗課件電子線路實驗室1實驗準備及常規(guī)儀器設(shè)備使用分立元件及負反饋放大電路設(shè)計測量放大器晶體管輸出特性曲線測試電路模擬乘法器及調(diào)幅與檢波電路LC三點式振蕩器數(shù)字電路的FPGA應(yīng)用實驗脈沖電路及其應(yīng)用

綜合實驗鎖相環(huán)及頻率調(diào)制與解調(diào)電路運放基本應(yīng)用電路2實驗準備及常規(guī)儀器設(shè)備使用分立元件及負反饋放大電路設(shè)計測量放實驗準備及常規(guī)儀器設(shè)備使用實驗室規(guī)則講解本學(xué)期實驗安排及教學(xué)要求實驗室教師聯(lián)系方式:宋萬年:65643658wnsong@孔慶生:65643231qskong@

王勇:65643658wyong@3實驗準備及常規(guī)儀器設(shè)備使用實驗室規(guī)則講解本學(xué)期實驗安排及教學(xué)水平系統(tǒng)垂直系統(tǒng)掃描系統(tǒng)觸發(fā)系統(tǒng)顯示系統(tǒng)1、普通示波器的組成:示波器基礎(chǔ)知識及使用簡介4水平系統(tǒng)1、普通示波器的組成:示波器基礎(chǔ)知識及使用簡介42、示波器的刻度水平刻度垂直刻度觸發(fā)電平刻度格線52、示波器的刻度水平刻度垂直刻度觸發(fā)電平刻度格線53、示波器的觸發(fā)觸發(fā)電路的作用就是保證每次時基在屏幕上掃描的時候,都從輸入信號上與定義的觸發(fā)點相同的點開始,這樣每一次掃描的波形就同步的,從而顯示穩(wěn)定的波形,見圖b;沒有觸發(fā)電路在屏幕上看到的將會是具有隨機起點的很多波形雜亂重疊的圖象,見圖a。觸發(fā)是使用示波器最麻煩的一點,示波器提供了許多觸發(fā)方式,可根據(jù)測量問題加以應(yīng)用。作為數(shù)字示波器來說,觸發(fā)實際上參與了確定波形的存儲起點。不正常觸發(fā)正常觸發(fā)ab63、示波器的觸發(fā)觸發(fā)電路的作用就是保證每次時基在屏幕上掃描的4、觸發(fā)釋抑(Holdoff)有些信號具有多個可能的觸發(fā)點,如右圖數(shù)字信號。 該信號雖然在較長的時間周期內(nèi)是重復(fù)的,但是在短時間內(nèi)情況則不然,這樣一來,正常觸發(fā)掃描出的波形出現(xiàn)混迭。為解決這個問題,采用了觸發(fā)隔離功能,即在各次掃描之間加入延遲時基,使得掃描的每次觸發(fā)總是從相同的信號沿開始。從而得到穩(wěn)定的波形顯示。另一方面,觸發(fā)隔離的使用顯然在波形捕獲方面遭到了損失。隔離時間過短波形混迭正確隔離時間74、觸發(fā)釋抑(Holdoff)有些信號具有多個可能的觸發(fā)TektronixTDS210SAVE/RECALLMEASUREACQUIREAUTOSETUTILITYCURSORDISPLAYHARDECOPYRUN/STOPPOSITIONPOSITIONPOSITIONVERTICALHORIZONTALTRIGGERMATHMENUCURSOR1CURSOR2

CH1MENU

CH2MENUHORIZONTAL

MENU

LEVELHOLDOFFTRIGGERMENU

SETLEVELTO50%FORCETRIGGERTRIGGERVIEWVOLT/DIVVOLT/DIVSEC/DIV5V2mv5V2mv5s5nsCH1CH2EXTTRIG!

300VCAT115、數(shù)字示波器TDS210使用簡介8TektronixTDS210SAVE/RECALLM顯示區(qū):顯示區(qū)除了進行波形顯示外,還包括許多有關(guān)波形和儀器控制所設(shè)定值TekTTriTrig’dMPos:-8.200uSCH1耦合直流帶寬限制關(guān)60MHz伏/格粗調(diào)探頭X1反相關(guān)閉Ch1500mVM5.00uSCh1-160mV獲取方式,通過ACQUIRE設(shè)置觸發(fā)狀態(tài),觸發(fā)方式通過TRIGGER設(shè)置觸發(fā)水平位置,可用HORIZONTALPOSITION設(shè)置,讀數(shù)顯示觸發(fā)水平位置與屏幕中心線的時間偏差菜單區(qū),通過CH1或其它按鈕控制觸發(fā)電平通過TRIGGERLEVEL控制觸發(fā)電平的數(shù)據(jù)觸發(fā)類型,圖示為上升沿通過TRIGGERMENU控制視窗時基設(shè)定值水平標尺系數(shù)垂直標尺系數(shù)1波形的接地基準點9顯示區(qū):顯示區(qū)除了進行波形顯示外,還包括許多有關(guān)波形和儀器控POSITIONPOSITIONVERTICALMATHMENUCURSOR1CURSOR2

CH1MENU

CH2MENUVOLT/DIVVOLT/DIV5V2mv5V垂直按鈕及波形輸入菜單:耦合直流關(guān)粗調(diào)探頭X1反相關(guān)閉帶寬限制伏/格耦合方式:直流通過輸入信號的交流和直流成分;交流阻擋輸入信號的直流成分;接地則斷開輸入信號帶寬限制:開20MHz限制帶寬,以減少噪音;伏/格:用于選擇垂直靈敏度。探頭:根據(jù)探極衰減系數(shù)選取其中一個值,以保證垂直標尺讀數(shù)準確反相:輸入信號要否反相控制菜單的選擇按鍵用于在垂直方向定位波形打開或關(guān)閉通道顯示并顯示通道輸入菜單顯示波形數(shù)學(xué)操作菜單,如波形加、減、FFT等選擇垂直方向標尺系數(shù)操作FFTCH1窗口HamingFFT縮放X110POSITIONPOSITION水平控制按鈕及觸發(fā)控制按鈕:POSITIONVERTICALTRIGGERHORIZONTAL

MENU

LEVELHOLDOFFTRIGGERMENU

SETLEVELTO50%FORCETRIGGERTRIGGERVIEWSEC/DIV5s5ns調(diào)整所有通道的水平位置水平菜單主時基視窗設(shè)定觸發(fā)鈕電平釋抑500ns視窗擴展改變水平標尺系數(shù)這個按鈕具有雙重作用,作為邊沿觸發(fā)電平控制按鈕,它設(shè)定觸發(fā)信號必須通過的振幅;作為釋抑(通過HORIZONTALMENU選擇觸發(fā)和釋抑)控制鈕,它設(shè)定接收下一個觸發(fā)事件之前的時間值。觸發(fā)功能菜單視頻邊沿上升自動斜率耦合交流觸發(fā)方式觸發(fā)電平設(shè)定在觸發(fā)信號幅值的垂直中點強行觸發(fā),不管是否有足夠的觸發(fā)信號,都會自動獲取觸發(fā)源觀察,顯示觸發(fā)源波形11水平控制按鈕及觸發(fā)控制按鈕:POSITIONVERTICALMEASUREACQUIREAUTOSETUTILITYCURSORDISPLAYHARDECOPYRUN/STOPSAVE/RECALL儲存/調(diào)出獲?。河腥?、峰值檢測和平均值幾種獲取方式測量:有5種測量并且同時顯示四種測量結(jié)果輔助功能自動設(shè)置:自動設(shè)定、調(diào)節(jié)各種控制值,以產(chǎn)生適宜觀察的輸入信號波形。有時需要輔助手工調(diào)整,特別在顯示各種調(diào)制波形時。出現(xiàn)測量光標和光標功能菜單選擇波形顯示方式和改變顯示對比度啟動打印操作啟動和停止波形獲取實例:1、將通道1的探頭連接到信號源。2、按下自動設(shè)置按鈕。3、手動調(diào)整垂直、水平、觸發(fā)三類控制按鈕,使波形顯示達到最佳。4、進行自動測量:按下MEASURE按鈕顯示測量菜單,按下頂部菜單選擇信源,按下CH1進行測量,再按下頂部菜單選擇類型,按下第一個CH1菜單以選擇頻率,按下第二個CH1菜單選擇周期,按下第三個CH1菜單選擇峰—峰值。也可以多次按下CH1菜單,進行頻率、平均值等的測量。12MEASUREACQUIREAUTOSETUTILITYCU實驗板說明:電源正極輸出端在最左邊的8孔插座輸出(由于該類插座插孔較面包板插孔粗,可以將導(dǎo)線彎成S型插入比較牢靠),電源接地端已經(jīng)與面包板最下一排插孔相連。其它插座的使用注意事項結(jié)合具體實驗再講解。13實驗板說明:電源正極輸出端在最左邊的8孔插座輸出(由于該類插實驗一:分立元件及負反饋放大電路設(shè)計一、實驗原理:本實驗的原理在本單元實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)放大電路的基本概念和主要元器件參數(shù)的設(shè)計要點及電路性能指標的測試方法,預(yù)習(xí)時三極管放大倍數(shù)β暫時定為60。IC不要超過30mA,功率不要超過400mW,VCEO=30V。二、基本實驗內(nèi)容:1、放大器的設(shè)計放大器指標為:電壓增益KV=40,輸入阻抗Ri≥3kΩ,輸出阻抗Ro≤100Ω,不失真輸出動態(tài)范圍Vopp≥4V,低半功率點頻率fL≤100Hz。已知:負載阻抗RL=1kΩ;直流偏置電源電壓Ec=12V;晶體管參數(shù)β≥60。14實驗一:分立元件及負反饋放大電路設(shè)計一、實驗原理:本實驗的原*設(shè)計提示:(1)根據(jù)給定的放大器指標,輸出電阻比較小,所以輸出級應(yīng)采用射極跟隨器或引入電壓負反饋,而射極跟隨器無電壓增益,故采用兩級放大電路的設(shè)計,輸入級采用電壓增益較大的共發(fā)射極放大電路,由于射極跟隨器輸入電阻比較大,避免了負載效應(yīng),從而放大倍數(shù)比單級共發(fā)射極放大電路要大的多。由于要求輸入電阻比較大,應(yīng)在輸入端應(yīng)引入串聯(lián)反饋,由于要求的增益并不大,兩級放大電路可以滿足增益要求,故電路初步設(shè)計方案如下頁電路圖所示。(2)低半功率點頻率fL≤100Hz設(shè)計主要考慮電路中電容的取值,由于Ce兩端的交流等效電阻最小,對應(yīng)的時間常數(shù)最小,故fL主要取決于Ce的取值。(3)Q1、Q2級電路都采用分壓偏置方式,二級電路的靜態(tài)工作點電流ICQ1、ICQ2及靜態(tài)工作點電壓VCEQ1、VCEQ2具有較好的穩(wěn)定性。15*設(shè)計提示:151616(4)偏置電阻設(shè)計要考慮靜態(tài)工作點接近放大區(qū)的中部(交流負載線的中部),同時要兼顧交流指標。工程上一般取VEQ1=0.2VCC或VEQ1=(1—3V),Rb11、Rb12取值應(yīng)考慮放大器的穩(wěn)定性和輸入電阻的要求。(5)設(shè)計可以從輸出級開始,首先靜態(tài)工作點應(yīng)該在交流負載線的中點,因此根據(jù)輸出電壓擺幅要求可初步確定輸出級的靜態(tài)工作點,由于實驗要求給出的電壓擺幅并不是最大擺幅,考慮到飽和壓降和負載影響,電壓擺幅應(yīng)留有一定的余量,在電源電壓12V的情況下,電壓擺幅取6V左右,由此初步確定靜態(tài)工作點VceQ2為3-5V左右,而RL=1K,則:IOPP=4-8mA;而IOPP′=EC′/RL′〉IOPP;

ICQ2=0.5IOPP′ICQ2的值應(yīng)根據(jù)上述推斷和三極管極限參數(shù)(9011:IC不要超過30mA,功率不要超過400mW,VCEO=30V)綜合而定。17(4)偏置電阻設(shè)計要考慮靜態(tài)工作點接近放大區(qū)的中部(交流負載(6)交流電壓放大倍數(shù)主要與RC1、后級的輸入阻抗以及負反饋電阻Re11有關(guān),需要注意的是由于RC1本身也是直流負載電阻,增大RC1可以提高增益但容易使三極管進入飽和區(qū),另一方面增大RC1也會使輸出電阻增大,因此RC1的取值應(yīng)首先考慮滿足增益要求,同時兼顧靜態(tài)工作點、電壓擺幅和輸出電阻的要求。(7)由于輸出級是射級跟隨器,因此輸入級的設(shè)計仍然要考慮電壓擺幅的要求,其靜態(tài)工作點仍應(yīng)該在其交流負載線的中點,由此初步確定輸入級的靜態(tài)工作點VceQ1為3-5V左右{可以根據(jù)(6)初步確定RC1的大小,由此確定三極管的靜態(tài)工作點,并計算增益、輸出電阻等指標是否符合要求}。(8)Q1的Re11為交流負反饋電阻,能夠提高輸入電阻,改善非線性失真,展寬通頻帶,太小負反饋作用不明顯,太大放大器增益下降明顯。18(6)交流電壓放大倍數(shù)主要與RC1、后級的輸入阻抗以及負2、放大器的PSPICE仿真軟件模擬仿真設(shè)計放大電路,進行瞬態(tài)分析,根據(jù)靜態(tài)工作電和輸出波形失真情況,修改電阻、電容的設(shè)計數(shù)值(注意電阻電容數(shù)值應(yīng)取系列值),調(diào)試電路工作正常并以滿足放大器設(shè)計指標的要求。進行交流分析測量帶寬和增益、交流輸入電阻、輸出電阻。3、放大器的實際電路安裝制作(1)用晶體管特性測試儀測量所用晶體管的參數(shù),記錄晶體管的實際β值。根據(jù)測量值修改軟件模擬仿真時三極管放大倍數(shù),重新調(diào)試電路工作正常并以滿足放大器設(shè)計指標的要求。(2)按軟件模擬仿真設(shè)計放大電路安裝一個放大器。要求元件排列合理、布線整齊、電接觸可靠。注意電源極性。192、放大器的PSPICE仿真194、放大器參數(shù)測試(1)用逐級調(diào)試的方法排除故障,用示波器測量放大器的直流工作點,并與設(shè)計值、仿真值比較。調(diào)試電路的電阻、電容值,使放大器電路正常工作。(2)測量不失真輸出信號峰峰值Vopp,及對應(yīng)的輸入信號峰峰值Vipp,并與設(shè)計值、仿真值比較。(3)測量帶寬和增益、交流輸入電阻、輸出電阻,并與設(shè)計值、仿真值比較。5、寄生反饋及消除:在一般多級放大器中,總會產(chǎn)生各種類型的寄生反饋。寄生反饋的類型和消除辦法在講義中有詳細的講解,這里主要強調(diào)電源退耦的重要性。退耦元件的數(shù)量力求減少,接入位置要選擇得當。例如,對于如下圖所示電路,一般只要接入Cφ1即可。如果Cφ1仍不能消除寄生振蕩,再考慮接入Rφ2、Cφ3。204、放大器參數(shù)測試202121

Cφ的接入位置應(yīng)根據(jù)線路板的具體結(jié)構(gòu)正確選擇。例如,若放大器的電源Ec和放大器接線相距較遠,兩者之間是通過較長的導(dǎo)線連接的,對高頻信號而言,這根導(dǎo)線就等效于一個電感,如圖1-10所示。此時,Cφ1就應(yīng)接在靠近放大器的一側(cè)(圖中實線),而不應(yīng)接在靠近Ec一側(cè)(圖中虛線)。因為對高頻信號而言,連接導(dǎo)線的感抗ZL=jωL已相當可觀,輸出電流Io又會在ZL上建立新的反饋電壓VfL,等效于加大了電源的內(nèi)阻。22Cφ的接入位置應(yīng)根據(jù)線路板的具體結(jié)構(gòu)正確選擇。例如,三、提高實驗內(nèi)容:放大器指標為:電壓增益KV=50,輸入阻抗Ri≥3kΩ,輸出阻抗Ro≤100Ω,不失真輸出動態(tài)范圍Vopp≥6V,低半功率點頻率fL≤100Hz。已知:負載阻抗RL=1kΩ;直流偏置電源電壓Ec=12V;晶體管參數(shù)β≥60,根據(jù)放大器指標的要求,設(shè)計方案,確定電路中所有電阻、電容的設(shè)計數(shù)值,并進行各項指標的測量驗證設(shè)計的正確性。23三、提高實驗內(nèi)容:23實驗二:運算放大器基本應(yīng)用電路一、實驗原理:本實驗的原理在本單元實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)運算放大器基本使用及調(diào)試方法、運放應(yīng)用電路及電路性能指標的測試方法。二、基本實驗內(nèi)容:1、同相和反相比例運算電路設(shè)計與測試:放大器電壓增益KV=10,要求測量不失真輸出動態(tài)范圍Vopp和放大器的幅頻特性。

直流電源電壓VCC=+8V,VSS=-8V。2、運放積分電路和微分電路設(shè)計與測試:運放積分電路:輸入矩形波Vopp=5V,調(diào)節(jié)積分電路時間常數(shù),記錄不同時間常數(shù)對輸出三角波的影響。運放微分電路:輸入三角波Vopp=5V,調(diào)節(jié)微分電路時間常數(shù),記錄不同時間常數(shù)對輸出矩形波的影響。24實驗二:運算放大器基本應(yīng)用電路一、實驗原理:本實驗的原理在本三、設(shè)計及調(diào)試注意事項;1、注意運放電源不能反接:對應(yīng)左邊電路接線圖如右所示:3、輸入500Hz的正弦波,設(shè)計遲滯比較器上、下門限為+0.7V、-0.7V,輸出矩形波。如果上下門限為0V、-0.7V,電路又當如何改變?25三、設(shè)計及調(diào)試注意事項;3、輸入500Hz的正弦波,設(shè)計遲滯2、積分電路電容兩端并接電阻可以減小輸出端的直流漂移,微分電路在輸入端串接電阻可抑制高頻干擾和自激。3、考慮運放的負載能力和功耗(最大500mW),運放的負載電阻不可過小。262、積分電路電容兩端并接電阻可以減小輸出端的直流漂移,26一、實驗原理:本實驗的原理在本單元實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)測量放大器和有源濾波器設(shè)計的基本概念和和主要元器件參數(shù)的設(shè)計要點及電路性能指標的測試方法。二、基本實驗內(nèi)容1、當輸入信號的峰-峰值Vspp=1mV時,輸出信號的峰-峰值Vopp=1V。2、輸入阻抗Ri>1MΩ

3、頻率特性:Δf(-3dB)=2Hz--2kHz4、共模抑制比CMRR>70dB

實驗三、測量放大器27一、實驗原理:本實驗的原理在本單元實驗講義開始的原理部分作了2828三、設(shè)計及調(diào)試注意事項;1、設(shè)計時考慮電路的實際性能,從減少噪聲和提高共模抑制比的角度,一般第一級增益要大一些??紤]運放的負載能力,運放負載電阻的選取不能太小。R的選取和輸入阻抗的要求及運放的偏置電流有關(guān)。調(diào)試時可分級調(diào)試。2、實驗中的輸入信號Vs應(yīng)是浮空的交流信號,而我們用來調(diào)試的信號源一端接地,由于信號源內(nèi)阻趨于零,又往往有直流電平輸出,故輸入端的接法可如圖2-7所示(下頁圖)。圖中C1是隔直電容,對低頻特性有影響,故不能取得太小,C2使另一端交流接地,又不影響直流平衡。3、

在測量濾波器的幅頻特性時,可以用示波器測量放大器的幅頻特性的方法測量,用示波器直接觀察截止頻率處的波形衰減情況,也可以利用相位法來測量,如圖2-8所示,在fL處Vo和Vi相差900,此時測得的是一正橢圓。29三、設(shè)計及調(diào)試注意事項;2930304、濾波器的設(shè)計:任何高階濾波器都可由一階和二階濾波器串聯(lián)而成,設(shè)計大致分為以下幾步(1)、根據(jù)衰減要求確定濾波器的階數(shù)n。(2)、選擇具體的電路形式。(3)、根據(jù)電路的傳遞函數(shù)和查表2-1(見講義)后得到的濾波器的傳遞函數(shù),建立起系數(shù)的恒等方程組。先定電容的序列值,再解方程組得到電路中其它元件的具體數(shù)值。四、提高實驗內(nèi)容:

設(shè)計一個二階帶阻濾波器,要求f0=50Hz,Q=5。314、濾波器的設(shè)計:任何高階濾波器都可由一階和二階濾波器串聯(lián)而實驗四、晶體管輸出特性曲線測試電路一、實驗原理:本實驗的原理在本實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)矩形波、鋸齒波、階梯波電路設(shè)計的基本概念和主要元器件參數(shù)的設(shè)計要點及電路性能指標的測試方法。二、基本實驗內(nèi)容:實驗電路見下頁。1、矩形波的f≥500Hz,占空比為5%。

2、階梯波的級數(shù)能從4-10變化,ΔV為1V。3、鋸齒波(0-10V)、階梯波從零值附近開始。

4、各級輸出波形符合要求后接入被測三極管,觀察輸出特性曲線。32實驗四、晶體管輸出特性曲線測試電路一、實驗原理:本實驗的原理3333三、設(shè)計提示:1、R1、R2、R3、R4取值決定矩形波的振蕩周期,公式為:

T=(R1+R2)·C1·ln(1+2R3/R4)而R1、R2的取值決定矩形波的占空比。2、R5、C2構(gòu)成微分電路,其取值與尖脈沖的高度和寬度有關(guān)也會影響后面階梯波的形狀,R6、C3取值與階梯波臺階大小有關(guān)。3、D3、D7主要考慮鋸齒波、階梯波從零值附近開始而設(shè)置的補償電壓,R11、R12是二極管限流電阻。4、R9、R10的取值決定鋸齒波上升和下降的快慢。5、R14的取值應(yīng)使被測三極管工作在放大區(qū)。34三、設(shè)計提示:346、各電阻、電容的值的確定可以先定電容的序列值,再依據(jù)相關(guān)公式計算電阻的值。7、注意示波器的接地端接機殼,直流電源的公共端不與示波器的接地端相連,避免被測三極管C、E短路。四、提高實驗內(nèi)容:1、改進電路,使示波器顯示的輸出特性曲線無回掃線。2、自行設(shè)計電路實現(xiàn)輸出特性曲線顯示更符合平時的習(xí)慣,即X軸應(yīng)顯示Vce而不是-Vce。356、各電阻、電容的值的確定可以先定電容的序列值,再依據(jù)35實驗五、模擬乘法器及調(diào)幅與檢波電路一、實驗原理:

本實驗的原理在本實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)模擬乘法器、調(diào)幅與檢波以及正弦波發(fā)生器的基本概念和主要元器件參數(shù)的設(shè)計要點及電路性能指標的測試方法,分析下頁圖中模擬乘法器外接器件的作用及取值大小的出發(fā)點。二、基本實驗內(nèi)容:實驗電路見下頁。1、利用運算放大器設(shè)計一正弦波發(fā)生器,振蕩頻率為1KHz,參考電路如下頁圖。

36實驗五、模擬乘法器及調(diào)幅與檢波電路一、實驗原理:362、調(diào)制電路測試:372、調(diào)制電路測試:373838(1)、按上圖接好調(diào)制部分電路(不插入集成塊),首先檢查各端點的直流電平,使電路正常無誤后插入集成塊,再檢查各點直流電平,并使電路工作正常。

(2)、在X1端加入載波信號(Vpp=100mV,fc=1MHz),先使Y1端的Vs信號幅度(fs=1kHz)為零,調(diào)節(jié)調(diào)幅級電位器,使輸出載波為零,然后逐漸增加Vs信號幅度,觀察輸出端雙邊帶抑制載波的調(diào)幅信號,并測出上述條件下,最大不失真的Vo1pp值及此時的Vs幅度值。

(3)、輸入載波信號(Vpp=100mV,fc=1MHz),調(diào)節(jié)調(diào)幅級電位器,使Vo1輸出中有載波,然后輸入fs=1kHz的調(diào)制信號,觀察輸出端的AM信號,并注意它與抑制載波的雙邊帶調(diào)幅信號的區(qū)別。調(diào)節(jié)Vs的大小與電位器的位置,使輸出端AM信號的Vo1pp值為1V,調(diào)制度為100%,測出此時的Vs幅度值。

39(1)、按上圖接好調(diào)制部分電路(不插入集成塊),首先檢查各端3、檢波電路測試:(1)、按圖3-10接好檢波部分電路,檢查電路無誤,直流電平正常后,插入集成塊,檢查集成塊各引出腳直流電平,使電路工作正常。

(2)、在檢波器的X2輸入端輸入載波信號(Vpp=100mV,fc=1MHz),Y2端輸入信號為零,調(diào)節(jié)檢波級電位器,使輸出載波為零,既電路平衡。

(3)、在檢波器的Y2輸入端輸入fc=1MHz、fs=1kHz、Vpp=200mV、調(diào)制度為100%的AM信號,在X2輸入端輸入載波信號(Vpp=100mV,fc=1MHz),觀察輸出端解調(diào)出來的調(diào)制信號,調(diào)節(jié)W2電位器,使輸出Vos的幅度最大,失真最小,并測出此時的Vos值。(4)、將Y2輸入端改為雙邊帶載波抑制的調(diào)幅信號,其余條件同上,重復(fù)上述內(nèi)容,并測出最大不失真Vos值。

403、檢波電路測試:40三、實驗注意事項:1、正弦波振蕩器可采用文氏電橋振蕩器,需要加二極管穩(wěn)幅電路。2、觀察是否有載漏,應(yīng)將水平掃描檔設(shè)置在每格1.0uS左右。3、模擬乘法器工作不正常,應(yīng)用示波器直流檔觀察各管腳靜態(tài)工作點,各管腳靜態(tài)工作點參考值如下(僅做參考,由于器件參數(shù)不一致,在參考值上下允許小的波動):(1)-0.4v(2)-1.12v(3)-1.12v(4)-0.4v(5)-7v(6)8.8v(8)6v(10)6v(12)8.8v(14)-8v4、觀察包絡(luò)時,可將水平掃描設(shè)為每格250uS左右。41三、實驗注意事項:41實驗六、LC三點式振蕩器一、實驗原理:

本實驗的原理在本實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)LC三點式振蕩器工作原理、Clapp、Seiler振蕩電路起振條件和影響頻率穩(wěn)定度的因素等基本概念。分析各元件的作用及取值大小的出發(fā)點。二、基本實驗內(nèi)容:

1、Clapp振蕩器

實驗電路見下頁。42實驗六、LC三點式振蕩器一、實驗原理:4243431、調(diào)整靜態(tài)工作點用示波器觀察V'O電壓波形,調(diào)整電位器Rb1,使電路產(chǎn)生振蕩測量停振時的靜態(tài)工作點電流ICQ

。2、觀察電容比C2/C1對振蕩電壓峰峰值Vopp的影響①保持ICQ

=2.5mA,C1=330pF。在C2=1000pF兩端并接不同電容(1000PF、2000pF、3000pF),用示波器測量振蕩波形峰峰值Vopp

。②保持ICQ

=2.5mA,C2=1000pF。在C1=330pF兩端并接不同電容(300pF、510pF、680pF、820pF),用示波器測量振蕩波形峰峰值Vopp

。3、測量振蕩電壓峰峰值Vopp和振蕩頻率之間的關(guān)系保持ICQ

=2.5mA,調(diào)節(jié)可變電容C,由大到小,用示波器測量振蕩波形峰峰值Vopp

,同時測量相應(yīng)的振蕩頻率f,并根據(jù)測量結(jié)果計算波段覆蓋系數(shù)fmax/fmin。441、調(diào)整靜態(tài)工作點444、測量靜態(tài)工作點電流ICQ對振蕩電壓峰峰值Vopp的影響調(diào)節(jié)C,使振蕩頻率調(diào)到最低,改變偏置電位器Rb1,使其阻值由大到小,測量相應(yīng)的直流工作點電流ICQ和振蕩電壓峰峰值Vopp。5、觀察電源電壓變化對振蕩頻率穩(wěn)定度的影響使ICQ恢復(fù)到2.5mA,振蕩頻率調(diào)到最低,改變電源電壓,分別測出Ec=12V和9V時的頻率值,并計算其頻率變化的相對值。然后在電感兩端極之間并接電阻10kΩ,用來減小回路的品質(zhì)因數(shù)。改變電源電壓,分別測出Ec=12V和8V時的頻率值,并計算其頻率變化的相對值。并比較并接電阻10kΩ前后兩次測量的結(jié)果。

454、測量靜態(tài)工作點電流ICQ對振蕩電壓峰峰值Vopp的影響42、Seiler振蕩電路

實驗電路如下:462、Seiler振蕩電路462、Seiler(1)調(diào)整靜態(tài)工作點用示波器觀察V’o電壓波形,調(diào)整電位器Rb1,使電路產(chǎn)生振蕩。測量停振時的靜態(tài)工作點電流IcQ。(2)觀察電容比C2/C1、C”對振蕩電壓峰峰值Vopp的影響①保持IcQ=2.5mA,C1=330pF。在C2=1000pF兩端并接不同電容(1000PF、2000pF、3000pF),用示波器測量振蕩波形峰峰值Vopp。②保持IcQ=2.5mA,C1=330pF,C2=1000pF。在C”=100pF兩端并接不同電容(300pF、510pF、680pF、820pF),用示波器測量振蕩波形峰峰值Vopp。(3)測量振蕩電壓峰峰值Vopp和振蕩頻率之間的關(guān)系保持IcQ=2.5mA,調(diào)節(jié)可變電容C’,由大到小,用示波器測量振蕩波形峰峰值Vopp,同時測量相應(yīng)的振蕩頻率f,并根據(jù)測量結(jié)果計算波段覆蓋系數(shù)fmax/fmin。472、Seiler47(4)測量靜態(tài)工作點電流IcQ對振蕩電壓峰峰值Vopp的影響調(diào)節(jié)C,使振蕩頻率調(diào)到最低,改變偏置電位器Rb1,使其阻值由大到小,測量相應(yīng)的直流工作點電流IcQ和振蕩電壓峰峰值Vopp。(5)觀察電源電壓變化對振蕩頻率穩(wěn)定度的影響使IcQ恢復(fù)到5mA,振蕩頻率調(diào)到最低,改變電源電壓,分別測出Ec=12V和9V時的頻率值,并計算其頻率變化的相對值。然后在電感兩端極之間并接電阻10kΩ,用來減小回路的品質(zhì)因數(shù)。改變電源電壓,分別測出Ec=12V和8V時的頻率值,并計算其頻率變化的相對值。并比較并接電阻10kΩ前后兩次測量的結(jié)果。48(4)測量靜態(tài)工作點電流IcQ對振蕩電壓峰峰值Vopp的影響三、實驗注意事項:電路不起振應(yīng)注意以下三點:(1)、用三極管輸出特性曲線測試儀檢測三極管好壞。(2)、三極管的靜態(tài)工作點是否正常。電容對直流開路電感對直流短路。(3)、振蕩電路是否有合適的交流通路。即電容電感是否連接正常,旁路電容和耦合電容應(yīng)對交流短路。四、選做實驗內(nèi)容:請將振蕩電路改為共基極電路(即Q1基極交流接地),并調(diào)試電路起振,重復(fù)必做部分實驗步驟。49三、實驗注意事項:49實驗七、鎖相環(huán)及頻率調(diào)制與解調(diào)電路一、實驗原理:

本實驗的原理在本實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)鎖相環(huán)工作原理、鎖相環(huán)同步與捕捉的基本概念以及基于鎖相環(huán)的頻率調(diào)制與解調(diào)電路圖6-10、6-11的主要元器件參數(shù)的設(shè)計要點及電路性能指標的測試方法。二、基本實驗內(nèi)容:實驗電路見下頁。1、參照圖6-10、6-11,用LM565模擬集成鎖相環(huán)構(gòu)成FM調(diào)制與解調(diào)電路,載波頻率fo=250KHz,調(diào)制信號頻率為1KHz。50實驗七、鎖相環(huán)及頻率調(diào)制與解調(diào)電路一、實驗原理:50圖6-10FM調(diào)制電路

圖6-11FM解制電路

51圖6-10FM調(diào)制電路圖6-11FM解制電路512、鎖相環(huán)特性測試

用“調(diào)制電路VCO輸出”作信號源。(調(diào)節(jié)Rtz:,使VCO頻率變化。)按講義第四節(jié)所述方法,測試解調(diào)電路鎖相環(huán)的鎖定范圍與捕捉范圍(也可以用信號源輸出矩形波進行鎖定范圍與捕捉范圍的測量,但應(yīng)注意輸出矩形波幅度不要過大)。3、用鎖相環(huán)實現(xiàn)調(diào)制電路參照圖l-10,實際是利用PLL內(nèi)部的VCO作調(diào)制電路(PLL不需要閉環(huán)),根據(jù)實驗指標要求確定元件參數(shù)后安裝電路,C1的設(shè)置應(yīng)考慮滿足最大平坦度響應(yīng)要求,插查電路無誤后接通電源,并調(diào)整VCO的中心頻率f01為250KHz(fo可用頻率計或示波器測試),加入的調(diào)制信號,在VCO輸出端觀察調(diào)頻輸出。若波形不正常,則調(diào)整電路,使工作正常。4、用鎖相環(huán)實現(xiàn)解調(diào)電路參照圖1-11,根據(jù)實驗指標要求,自行設(shè)計運放差動放大電路和二階有源低通濾波電路,確定元件參數(shù)后安裝電路。522、鎖相環(huán)特性測試52在PLL開環(huán)狀態(tài),調(diào)整VCO的中心頻率,將調(diào)制電路VCO輸出與解調(diào)電路VCO輸出分別輸入示波器Y1,Y2通道。調(diào)整解調(diào)電路的,使之與調(diào)制電路的一致。(判別二路信號頻率一致的方法可參照“同步范圍測試方法”一節(jié)),將解調(diào)電路閉環(huán),觀察VCO輸出波形,確定電路工作正常后,將調(diào)頻信號接到解調(diào)電路輸入端。觀察PD輸出波形(7腳)是否正常。并調(diào)整之,插查差動放大與二階低通濾波器工作正常后連到PD輸出端,分別觀察放大器和濾波器輸出端使電路工作都正常。當調(diào)制信號頻率為1KHz時,測試解調(diào)輸出最大不失真及相應(yīng)的調(diào)制信號幅度(在調(diào)制電路輸入端測),改變調(diào)制信號頻率,測試解調(diào)輸出頻響特性。三、實驗注意事項:1、565工作不正常,應(yīng)測試各管腳靜態(tài)電位是否正常。正負電源是否連接到位,6、7管腳輸出直流電平約4.5V左右。53在PLL開環(huán)狀態(tài),調(diào)整VCO的中心頻率,將調(diào)制電路VCO輸出2、鎖相環(huán)輸出振蕩信號頻率如果特別高(MHz數(shù)量級),可能是Ct沒有接好,鎖相環(huán)通過分布電容形成振蕩。3、注意電源退耦的重要性。4、兩個鎖相環(huán)應(yīng)分別都調(diào)至250KHz左右,然后閉環(huán)連接,兩者相位差調(diào)至π/2,再加調(diào)制信號。5、加調(diào)制信號后(幅度不要過大,一般為零點幾伏數(shù)量級),第一級鎖相環(huán)輸出為晃動的矩形波。第二級鎖相環(huán)7腳輸出為粗線條的正弦波形狀。6、由于第二級鎖相環(huán)7腳帶負載能力差,運放減法電路的輸入電阻不能太小,一般為幾十K以上。四、提高實驗部分1、寬帶AGC放大器的調(diào)試與測量,實驗電路如下所示,分析下圖各元件的作用及取值大小的出發(fā)點。測量AGC的范圍。542、鎖相環(huán)輸出振蕩信號頻率如果特別高(MHz數(shù)量級),可能是即輸出VO幅度變化不超±10%時,輸入信號的范圍。輸入fc=5MHz的等幅波用示波器測出可控范圍(db)和起控信號大小。示波器的二個輸入端可分別觀察Vi及VO。

55即輸出VO幅度變化不超±10%時,輸入信號的范圍。輸入fc=

2、研究觀察AGC的F(S)時間常數(shù)對調(diào)制信號的影響。輸入fc=5MHz,調(diào)制信號頻率為1KHz。調(diào)制度M=20%的調(diào)幅波,Vi幅度在可控范圍內(nèi)。觀察濾波電容分別為0.1uF及100uF時對調(diào)幅波VO(S)包絡(luò)的影響,并分析原因。

562、研究觀察AGC的F(S)時間常數(shù)對調(diào)制信號的影響。實驗八、數(shù)字電路的FPGA應(yīng)用實驗一、實驗原理:

本實驗的原理在本實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)FPGA的工作原理、基本概念以及FPGA系統(tǒng)設(shè)計步驟、設(shè)計方法、軟硬件環(huán)境,對數(shù)字電路常用小規(guī)模器件如各類門電路、觸發(fā)器和中規(guī)模器件如計數(shù)器、數(shù)據(jù)選擇器等應(yīng)預(yù)習(xí)其原理和應(yīng)用方法。二、基本實驗內(nèi)容:1、試設(shè)計一個單價可予置的汽車侯時自動計價系統(tǒng),要求下載至一片XC芯片內(nèi),無外圍電路,而且可用DEMO板上三個數(shù)碼管(元、角、分)直接靜態(tài)顯示等候時間內(nèi)應(yīng)收費用。等侯時間單價可通過DEMO板上微動開關(guān)于置(對內(nèi)賓,每分鐘0.02--0.30元;對外賓,每分鐘0.04—0.60元,誤差士0.01元)。57實驗八、數(shù)字電路的FPGA應(yīng)用實驗一、實驗原理:57要求系統(tǒng)具有啟仃和復(fù)位功能,一旦候時費用超過9.99元,系統(tǒng)能自動給出溢出報警顯示(小數(shù)點閃光)。

2、試設(shè)計一個單價可予置的汽車侯時自動計價系統(tǒng),要求下載至一片XC芯片內(nèi),無外圍電路,而且可用DEMO板上數(shù)碼管Ⅰ直接動態(tài)顯示(元、角、分)等候時間內(nèi)應(yīng)收費用。其它要求同上。三、實驗注意事項:1、下面主要講解一下FPGA實驗板使用注意事項(示意圖見下頁):A、發(fā)光二極管是輸出高電平點亮,而片選為低電平選中,其中P57、P58、P59、P60管腳對應(yīng)的發(fā)光二極管片選端已經(jīng)接地,其它發(fā)光二極管片選P41低有效。B、數(shù)碼管采用共陰極連接方式,輸出高電平點亮,而公共端為低電平選中,其中數(shù)碼管Ⅲ公共端已經(jīng)接地。數(shù)碼管Ⅱ公共58要求系統(tǒng)具有啟仃和復(fù)位功能,一旦候時費用超過9.99元,系統(tǒng)5959端為P35低有效。而數(shù)碼管Ⅰ的四個數(shù)碼管陽極連到一起,故應(yīng)輪流片選它們的公共端(P13、P14、P18、P19)實現(xiàn)動態(tài)顯示。C、DIP開關(guān)on輸出低電平,off輸出高電平。D、按鈕開關(guān)按下為低電平。F、點陣行接高電平、列接低電平點亮。G、晶振輸出信號頻率為10MHz,通過庫里的TCK器件調(diào)用。H、輸入輸出管腳應(yīng)注意連接IPAD、IBUF、OBUF、OPAD,并對IPAD、OPAD設(shè)置LOC屬性,來定位各個管腳,如LOC=P59。2、設(shè)計電路應(yīng)采用模塊法,逐個模塊進行功能仿真驗證,最后進行綜合。60端為P35低有效。而數(shù)碼管Ⅰ的四個數(shù)碼管陽極連到一起,故應(yīng)輪四、提高或選做實驗內(nèi)容:實驗A電梯樓層顯示控制器的FPGA設(shè)計

實驗B計數(shù)型控制器的FPGA設(shè)計

實驗C三位數(shù)字頻率計的FPGA設(shè)計

實驗D時鐘的FPGA設(shè)計

實驗E音樂的FPGA設(shè)計

實驗F交通燈的FPGA設(shè)計

實驗G點陣的FPGA設(shè)計

根據(jù)個人實驗進度,任意選做其中一個或多個,實驗要求見講義。61四、提高或選做實驗內(nèi)容:61實驗九、脈沖電路及其應(yīng)用

一、實驗原理:

本實驗的原理在本實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)晶體管的開關(guān)特性(特別是加速電容的作用)、集成門電路組成脈沖單元電路的工作原理以及施密特門電路的特點,對門電路及觸發(fā)器的負載特性也應(yīng)該了解,特別應(yīng)注意器件的使用安全。二、基本實驗內(nèi)容:試設(shè)計一個低頻脈沖信號發(fā)生器,要求在頻率為5-100kHz范圍內(nèi)能輸出脈寬為1-6uS、幅度0-12V可調(diào),而且零電平起跳的脈沖波;同時還能輸出周期可調(diào)的方波、三角波和尖脈沖波,周期調(diào)節(jié)范圍為:20-400uS。脈沖波、方波、三角波和尖脈沖波之間的對應(yīng)時間關(guān)系如下圖所示:62實驗九、脈沖電路及其應(yīng)用一、實驗原理:62三、實驗注意事項:1、實驗前應(yīng)認真查閱有關(guān)器件的說明書,注意區(qū)分電源管腳接地管腳、輸入輸出管腳,了解器件的負載特性、工作頻率要求,實驗中用到的門電路和觸發(fā)器以及其它中規(guī)模數(shù)63三、實驗注意事項:63字電路器件所用電源基本都是5V,因此一定要檢查好電源輸出是否正確。2、環(huán)行振蕩器不工作應(yīng)逐級檢查每個門電路的輸入輸出邏輯,另外如果所用的門電路為TTL器件,則R不能太大,對74LS系列門電路,R一般為幾K的數(shù)量級,這一點在實驗中可以測量出來的。64字電路器件所用電源基本都是5V,因此一定要檢查好電源63、積分型和微分型單穩(wěn)態(tài)電路具有不同的工作特性,其中積分型單穩(wěn)態(tài)電路能夠?qū)挼恼}沖波轉(zhuǎn)換為負的窄脈沖輸出,要求輸入觸發(fā)正脈沖寬度必須大于所需輸出脈、沖的寬度,而且頻率不能太高。微分型單穩(wěn)態(tài)能夠?qū)⒄呢撁}沖波轉(zhuǎn)換為負的窄脈沖輸出,多采用輸入觸發(fā)負脈沖寬度小于所需輸出脈沖的寬度,而且頻率也不能太高。4、利用三極管實現(xiàn)電平轉(zhuǎn)換的時候,應(yīng)注意基極限流電阻不能太小,否則三極管進入飽和區(qū)后會使前級門電路負載電流過大,容易損壞器件。5、如果三極管輸出脈沖不理想,應(yīng)考慮使用加速電容。653、積分型和微分型單穩(wěn)態(tài)電路具有不同的工作特性,其中65四、提高或選做實驗內(nèi)容:1、

若希望頻率連續(xù)可調(diào)范圍增大為0.5~1000kHz,對環(huán)行振蕩器應(yīng)采取什么措施?請設(shè)計電路實現(xiàn)。2、

產(chǎn)生數(shù)字脈沖信號的另一種方法就是可以對模擬信號進行整形,假設(shè)輸入信號是頻率為1kHz、峰峰值為5V的正弦波,請設(shè)計電路實現(xiàn)將該正弦波轉(zhuǎn)換為頻率為500Hz、幅度為5V的方波,兩波形對應(yīng)關(guān)系如下:66四、提高或選做實驗內(nèi)容:66實驗十、綜合實驗本實驗單元共安排8個實驗題目供同學(xué)們選做,實驗題目如下:實驗一:計數(shù)型控制器設(shè)計

實驗二:智力競賽搶答計時系統(tǒng)設(shè)計

實驗三:數(shù)字信號三位數(shù)字頻率計系統(tǒng)設(shè)計

實驗四:六位ADC系統(tǒng)設(shè)計

實驗五:模擬信號六位頻率計系統(tǒng)設(shè)計

實驗六:直流數(shù)字電壓表

實驗七:D類功放

實驗八:IC_CARD設(shè)計

下面分別簡要介紹一下設(shè)計要求及注意事項:67實驗十、綜合實驗本實驗單元共安排8個實驗題目供同學(xué)們選做,實實驗一:計數(shù)型控制器設(shè)計設(shè)計要求:試設(shè)計一控制器,要求控制器在開機T秒后啟動某節(jié)拍分配器開始工作,而在節(jié)拍分配器運轉(zhuǎn)N秒后自行停止,以后不斷重復(fù)執(zhí)行。T和N值可根據(jù)一組開關(guān)的預(yù)置值進行選擇,節(jié)拍分配器的輸出要求按下列程序工作:68實驗一:計數(shù)型控制器設(shè)計68計數(shù)型控制器設(shè)計注意事項:1、彩燈的循環(huán)顯示可移位寄存器74LS194實現(xiàn),設(shè)計控制器實現(xiàn)對74LS194左移、右移和置數(shù)端子的控制??刂破鞯脑O(shè)計可用74LS93實現(xiàn)。2、起??刂瓶赏ㄟ^兩個計數(shù)器控制T觸發(fā)器實現(xiàn)對時鐘信號的閘門控制。實驗二:智力競賽搶答計時系統(tǒng)設(shè)計

設(shè)計要求:搶答電路能允許三組同學(xué)同時參加競賽,在宣布了題目后,只要三組同學(xué)要求答題(通過開關(guān))的時間差在100ns以上,電路應(yīng)能予以判別,一旦某組搶到了答題權(quán)利,其他兩組的搶答權(quán)利既自動取消。電路能給出誰首先搶到了答題權(quán)利的閃光顯示信號。搶答所用時間由計時電路告知,要求計時電路顯示時間精確到秒,最大顯示時間為3分鐘。一旦時間大于等于三分鐘,電路自動停止計數(shù),并取消所有同學(xué)的搶答權(quán)利。計時和搶答電路既受裁判控制,也受智力競賽搶答獲勝者控制。

69計數(shù)型控制器設(shè)計注意事項:69智力競賽搶答計時系統(tǒng)設(shè)計注意事項:1、搶答器可以用鎖存器實現(xiàn)(封鎖使能端),也可以用觸發(fā)器實現(xiàn)(封鎖時鐘信號),也可以直接用與非門互鎖實現(xiàn)。2、計時系統(tǒng)可用計數(shù)器390和譯碼器249實現(xiàn)。實驗三:數(shù)字信號三位數(shù)字頻率計系統(tǒng)設(shè)計設(shè)計要求:設(shè)計一個三位數(shù)字顯示頻率計系統(tǒng),要求測頻范圍為0.1~99.9kHz,三位數(shù)字顯示末位為四舍五入顯示(誤差≤±0.05kHz)。當被測頻率f>99.9kHz時,電路應(yīng)能給出小數(shù)點閃光的溢出顯示。數(shù)字信號三位數(shù)字頻率計系統(tǒng)設(shè)計注意事項:1、該系統(tǒng)的設(shè)計要點是被測信號和采樣脈沖信號通過閘門形成采樣脈沖信號的計數(shù)顯示系統(tǒng)設(shè)計,可用555定時器或環(huán)行振蕩器形成采樣脈沖信號,計數(shù)顯示與搶答計時系統(tǒng)一樣。70智力競賽搶答計時系統(tǒng)設(shè)計注意事項:702、該系統(tǒng)的另一個設(shè)計要點是計數(shù)器的鎖存清零問題,閘門信號、鎖存信號和清零信號的關(guān)系如下:

注意:鎖存信號和清零信號的電平高低應(yīng)視具體鎖存器和計數(shù)器要求而改變,鎖存信號和清零信號的產(chǎn)生可用單穩(wěn)態(tài)器件74LS123實現(xiàn)。實驗四:六位ADC系統(tǒng)設(shè)計設(shè)計要求:試設(shè)計一個逐次比較型六位ADC系統(tǒng),要求能將0~3.2V的模擬量轉(zhuǎn)換成數(shù)字量輸出(以發(fā)光二極管的亮暗表示)。精度為6bit,分辨率為0.05V,轉(zhuǎn)換速度為1~5ms。712、該系統(tǒng)的另一個設(shè)計要點是計數(shù)器的鎖存清零問題,閘門信號、六位ADC系統(tǒng)設(shè)計注意事項:1、逐次比較型ADC系統(tǒng)組成下圖所示,由比較器、數(shù)模轉(zhuǎn)換器和數(shù)字系統(tǒng)三大部分構(gòu)成,本實驗僅要求設(shè)計能滿足要求的數(shù)字系統(tǒng)部分。

DAC0832實現(xiàn)單極性二進制數(shù)字到模擬電壓轉(zhuǎn)換的接線方法如下圖所示:

72六位ADC系統(tǒng)設(shè)計注意事項:DAC0832實現(xiàn)單極性二進制數(shù)2、數(shù)字系統(tǒng)的設(shè)計關(guān)鍵是六個觸發(fā)器依次置1并通過比較決定觸發(fā)器的1信號是否繼續(xù)保持,六位比較完成后,六個觸發(fā)器的狀態(tài)就是轉(zhuǎn)換結(jié)果。觸發(fā)器可用D也可以用JK,依次置1信號可用移位寄存器194實現(xiàn)。732、數(shù)字系統(tǒng)的設(shè)計關(guān)鍵是六個觸發(fā)器依次置1并通過比較決定觸發(fā)實驗五:模擬信號六位頻率計系統(tǒng)設(shè)計設(shè)計要求:輸入模擬信號幅度:大于200mV,測量頻率范圍:100Hz一20MHz的六位頻率計系統(tǒng)。模擬信號六位頻率計系統(tǒng)設(shè)計注意事項:1、實驗原理請詳細閱讀實驗講義,該實驗主要有兩部分:信號放大整形、信號計數(shù),放大整形可用uA733和高頻三極管實現(xiàn),考慮信號抗干擾也可以考慮使用施密特觸發(fā)器件。信號計數(shù)部分主要是CD4553的正確使用,特別是閘門信號、鎖存信號和清零信號的關(guān)系,見下:74實驗五:模擬信號六位頻率計系統(tǒng)設(shè)計742、uA733應(yīng)接成單端輸入單端輸出的工作方式,其輸出端有3V左右的直流電平,因此可以不加耦合電容直接接三極管整形電路。CD4553由于是MOS器件,一定不要有懸空的輸入端子。實驗六:直流數(shù)字電壓表設(shè)計要求:設(shè)計一個直流數(shù)字電壓表,要求如下:用三位十進制數(shù)顯示,量程為+5.00V,分辨力為0.0lV,測量精度為士兩個字,測量速度50次/秒。溢出顯示:當被測直流電壓超過量程時,三位數(shù)字全部滅燈,同時三個小數(shù)點全亮。、直流數(shù)字電壓表設(shè)計注意事項:1、積分器和比較器的設(shè)計:矩形波振蕩器考慮振蕩的穩(wěn)定性可以用晶體振蕩器實現(xiàn),積分器應(yīng)用運放積分電路,積分電路的充放電時間常數(shù)的設(shè)定應(yīng)保證產(chǎn)生良好線性度的鋸齒波。比較器應(yīng)該用單電源供電的358,輸出TTL電平。2、下圖為單積分V—T變換工作原理框圖講解:

752、uA733應(yīng)接成單端輸入單端輸出的工作方式,其輸出端有7676實驗七:D類功放設(shè)計要求:設(shè)計D類功放電路,實現(xiàn)音頻輸入信號如1KHz正弦信號調(diào)制在載頻如200K的等幅方波上,得到脈寬隨音頻(1KHz)幅度變化而載波幅度不變的200K脈寬調(diào)制波,通過77實驗七:D類功放77功率放大后,由LC構(gòu)成的低通無源濾波器濾去載波及以上的諧波,就可得到功率放大了的音頻信號.D類功放設(shè)計注意事項:1、參考電路如下:78功率放大后,由LC構(gòu)成的低通無源濾波器濾去載波及以上的諧波,2、緩沖器可用并聯(lián)的與非門實現(xiàn)。電路要逐級連接,逐級測試。場效應(yīng)管在使用前應(yīng)用三極管特性測試儀測量其輸出特性。根據(jù)設(shè)計要求確定L、C的取值,電感線圈為減小直流電阻可自行繞制,并測量其電感量。實驗八:IC_CARD設(shè)計設(shè)計要求:實現(xiàn)主機(發(fā)射電路)對副卡(接收電路)中的數(shù)字信息的辨認和讀取(副卡的部分元件的電源由主機發(fā)射的電磁波提供,部分實現(xiàn)了IC卡的功能。

IC_CARD設(shè)計注意事項:1、頻率發(fā)生器:產(chǎn)生10M的矩形波

792、緩沖器可用并聯(lián)的與非門實現(xiàn)。電路要逐級連接,逐級測試。場2、耦合天線:(主副級諧振在10M):需要注意的是主次級諧振電路都要諧振,諧振時諧振電路輸出比較標準的正弦波,且幅度明顯增大,因此主次級諧振電路的電容要仔細調(diào)試。3、副卡的鍵控調(diào)制參考電路如下圖所示:802、耦合天線:(主副級諧振在10M):需要注意的是主次級諧振負載調(diào)制電阻R8應(yīng)該仔細調(diào)試,以得到最佳調(diào)試效果。4、主卡參考電路見下圖所示:81負載調(diào)制電阻R8應(yīng)該仔細調(diào)試,以得到最佳調(diào)試效果。81檢波后運放放大倍數(shù)應(yīng)仔細調(diào)試,以期得到接近TTL幅度的輸出,運放輸出端可接施密特非門整形,以提高抗干擾能力。82檢波后運放放大倍數(shù)應(yīng)仔細調(diào)試,以期得到接近TTL幅度的輸出,1、在模擬電路實驗中,往往需要負電源,實驗板沒有提供負電源輸出,主要為了避免萬一同學(xué)實驗中電源反接導(dǎo)致器件損壞和電解電容爆炸,負電源連接方式如下:2、在模擬數(shù)字綜合實驗中,實驗板的兩根電源線固定接5V電源,用作數(shù)字電路的電源,而模擬電路用電源可以按右圖方式連接,注意將COM1和COM2連接起來。實現(xiàn)模擬地與數(shù)字地共地。831、在模擬電路實驗中,往往需要負電源,實驗板沒有提供負電源輸3、現(xiàn)用實驗板在保留原來實驗板所有功能的基礎(chǔ)上,為了方便同學(xué)實驗,增加了數(shù)碼管顯示部分,在做智力競賽搶答計時系統(tǒng)設(shè)計實驗、數(shù)字信號三位數(shù)字頻率計系統(tǒng)實驗可直接將四位BCD輸出接入實驗板中的249輸入,而在模擬信號六位頻率計系統(tǒng)設(shè)計實驗和直流數(shù)字電壓表實驗中,由于采用的是數(shù)碼管動態(tài)掃描顯示,只用一個譯碼器驅(qū)動三個數(shù)碼管,一種辦法是在面包板上插入數(shù)碼管并自行搭建譯碼電路,這樣連線比較多,占用面包板地方較大,另一種辦法是利用實驗板現(xiàn)有電路,在直流數(shù)字電壓表實驗中,將4553的四位BCD輸出連入一片249的A、B、C、D輸入端(249插在面包板上),將249的輸出分別連到實驗板上空的249插座的輸出端對應(yīng)的位置上。利用實驗板提供的SELECT片選端子實現(xiàn)動態(tài)掃描。在模擬信號六位頻率計系統(tǒng)設(shè)計實驗中由于需要六片數(shù)碼管,而實驗板只提供了三片數(shù)碼管位置,一種辦法是將另外三片數(shù)碼管插入面包板自行搭建譯碼電路,另一種辦法是可分別驗證高三位和輸出低三位輸出是否正確,將兩片4553的輸出分別接到一片249的輸入來分別驗證高三位和輸出低三位輸出是否正確,或兩片249的輸出分別連到實驗板的數(shù)碼管輸入端。843、現(xiàn)用實驗板在保留原來實驗板所有功能的基礎(chǔ)上,為了方便同學(xué)電子線路實驗課件電子線路實驗室85電子線路實驗課件電子線路實驗室1實驗準備及常規(guī)儀器設(shè)備使用分立元件及負反饋放大電路設(shè)計測量放大器晶體管輸出特性曲線測試電路模擬乘法器及調(diào)幅與檢波電路LC三點式振蕩器數(shù)字電路的FPGA應(yīng)用實驗脈沖電路及其應(yīng)用

綜合實驗鎖相環(huán)及頻率調(diào)制與解調(diào)電路運放基本應(yīng)用電路86實驗準備及常規(guī)儀器設(shè)備使用分立元件及負反饋放大電路設(shè)計測量放實驗準備及常規(guī)儀器設(shè)備使用實驗室規(guī)則講解本學(xué)期實驗安排及教學(xué)要求實驗室教師聯(lián)系方式:宋萬年:65643658wnsong@孔慶生:65643231qskong@

王勇:65643658wyong@87實驗準備及常規(guī)儀器設(shè)備使用實驗室規(guī)則講解本學(xué)期實驗安排及教學(xué)水平系統(tǒng)垂直系統(tǒng)掃描系統(tǒng)觸發(fā)系統(tǒng)顯示系統(tǒng)1、普通示波器的組成:示波器基礎(chǔ)知識及使用簡介88水平系統(tǒng)1、普通示波器的組成:示波器基礎(chǔ)知識及使用簡介42、示波器的刻度水平刻度垂直刻度觸發(fā)電平刻度格線892、示波器的刻度水平刻度垂直刻度觸發(fā)電平刻度格線53、示波器的觸發(fā)觸發(fā)電路的作用就是保證每次時基在屏幕上掃描的時候,都從輸入信號上與定義的觸發(fā)點相同的點開始,這樣每一次掃描的波形就同步的,從而顯示穩(wěn)定的波形,見圖b;沒有觸發(fā)電路在屏幕上看到的將會是具有隨機起點的很多波形雜亂重疊的圖象,見圖a。觸發(fā)是使用示波器最麻煩的一點,示波器提供了許多觸發(fā)方式,可根據(jù)測量問題加以應(yīng)用。作為數(shù)字示波器來說,觸發(fā)實際上參與了確定波形的存儲起點。不正常觸發(fā)正常觸發(fā)ab903、示波器的觸發(fā)觸發(fā)電路的作用就是保證每次時基在屏幕上掃描的4、觸發(fā)釋抑(Holdoff)有些信號具有多個可能的觸發(fā)點,如右圖數(shù)字信號。 該信號雖然在較長的時間周期內(nèi)是重復(fù)的,但是在短時間內(nèi)情況則不然,這樣一來,正常觸發(fā)掃描出的波形出現(xiàn)混迭。為解決這個問題,采用了觸發(fā)隔離功能,即在各次掃描之間加入延遲時基,使得掃描的每次觸發(fā)總是從相同的信號沿開始。從而得到穩(wěn)定的波形顯示。另一方面,觸發(fā)隔離的使用顯然在波形捕獲方面遭到了損失。隔離時間過短波形混迭正確隔離時間914、觸發(fā)釋抑(Holdoff)有些信號具有多個可能的觸發(fā)TektronixTDS210SAVE/RECALLMEASUREACQUIREAUTOSETUTILITYCURSORDISPLAYHARDECOPYRUN/STOPPOSITIONPOSITIONPOSITIONVERTICALHORIZONTALTRIGGERMATHMENUCURSOR1CURSOR2

CH1MENU

CH2MENUHORIZONTAL

MENU

LEVELHOLDOFFTRIGGERMENU

SETLEVELTO50%FORCETRIGGERTRIGGERVIEWVOLT/DIVVOLT/DIVSEC/DIV5V2mv5V2mv5s5nsCH1CH2EXTTRIG!

300VCAT115、數(shù)字示波器TDS210使用簡介92TektronixTDS210SAVE/RECALLM顯示區(qū):顯示區(qū)除了進行波形顯示外,還包括許多有關(guān)波形和儀器控制所設(shè)定值TekTTriTrig’dMPos:-8.200uSCH1耦合直流帶寬限制關(guān)60MHz伏/格粗調(diào)探頭X1反相關(guān)閉Ch1500mVM5.00uSCh1-160mV獲取方式,通過ACQUIRE設(shè)置觸發(fā)狀態(tài),觸發(fā)方式通過TRIGGER設(shè)置觸發(fā)水平位置,可用HORIZONTALPOSITION設(shè)置,讀數(shù)顯示觸發(fā)水平位置與屏幕中心線的時間偏差菜單區(qū),通過CH1或其它按鈕控制觸發(fā)電平通過TRIGGERLEVEL控制觸發(fā)電平的數(shù)據(jù)觸發(fā)類型,圖示為上升沿通過TRIGGERMENU控制視窗時基設(shè)定值水平標尺系數(shù)垂直標尺系數(shù)1波形的接地基準點93顯示區(qū):顯示區(qū)除了進行波形顯示外,還包括許多有關(guān)波形和儀器控POSITIONPOSITIONVERTICALMATHMENUCURSOR1CURSOR2

CH1MENU

CH2MENUVOLT/DIVVOLT/DIV5V2mv5V垂直按鈕及波形輸入菜單:耦合直流關(guān)粗調(diào)探頭X1反相關(guān)閉帶寬限制伏/格耦合方式:直流通過輸入信號的交流和直流成分;交流阻擋輸入信號的直流成分;接地則斷開輸入信號帶寬限制:開20MHz限制帶寬,以減少噪音;伏/格:用于選擇垂直靈敏度。探頭:根據(jù)探極衰減系數(shù)選取其中一個值,以保證垂直標尺讀數(shù)準確反相:輸入信號要否反相控制菜單的選擇按鍵用于在垂直方向定位波形打開或關(guān)閉通道顯示并顯示通道輸入菜單顯示波形數(shù)學(xué)操作菜單,如波形加、減、FFT等選擇垂直方向標尺系數(shù)操作FFTCH1窗口HamingFFT縮放X194POSITIONPOSITION水平控制按鈕及觸發(fā)控制按鈕:POSITIONVERTICALTRIGGERHORIZONTAL

MENU

LEVELHOLDOFFTRIGGERMENU

SETLEVELTO50%FORCETRIGGERTRIGGERVIEWSEC/DIV5s5ns調(diào)整所有通道的水平位置水平菜單主時基視窗設(shè)定觸發(fā)鈕電平釋抑500ns視窗擴展改變水平標尺系數(shù)這個按鈕具有雙重作用,作為邊沿觸發(fā)電平控制按鈕,它設(shè)定觸發(fā)信號必須通過的振幅;作為釋抑(通過HORIZONTALMENU選擇觸發(fā)和釋抑)控制鈕,它設(shè)定接收下一個觸發(fā)事件之前的時間值。觸發(fā)功能菜單視頻邊沿上升自動斜率耦合交流觸發(fā)方式觸發(fā)電平設(shè)定在觸發(fā)信號幅值的垂直中點強行觸發(fā),不管是否有足夠的觸發(fā)信號,都會自動獲取觸發(fā)源觀察,顯示觸發(fā)源波形95水平控制按鈕及觸發(fā)控制按鈕:POSITIONVERTICALMEASUREACQUIREAUTOSETUTILITYCURSORDISPLAYHARDECOPYRUN/STOPSAVE/RECALL儲存/調(diào)出獲?。河腥印⒎逯禉z測和平均值幾種獲取方式測量:有5種測量并且同時顯示四種測量結(jié)果輔助功能自動設(shè)置:自動設(shè)定、調(diào)節(jié)各種控制值,以產(chǎn)生適宜觀察的輸入信號波形。有時需要輔助手工調(diào)整,特別在顯示各種調(diào)制波形時。出現(xiàn)測量光標和光標功能菜單選擇波形顯示方式和改變顯示對比度啟動打印操作啟動和停止波形獲取實例:1、將通道1的探頭連接到信號源。2、按下自動設(shè)置按鈕。3、手動調(diào)整垂直、水平、觸發(fā)三類控制按鈕,使波形顯示達到最佳。4、進行自動測量:按下MEASURE按鈕顯示測量菜單,按下頂部菜單選擇信源,按下CH1進行測量,再按下頂部菜單選擇類型,按下第一個CH1菜單以選擇頻率,按下第二個CH1菜單選擇周期,按下第三個CH1菜單選擇峰—峰值。也可以多次按下CH1菜單,進行頻率、平均值等的測量。96MEASUREACQUIREAUTOSETUTILITYCU實驗板說明:電源正極輸出端在最左邊的8孔插座輸出(由于該類插座插孔較面包板插孔粗,可以將導(dǎo)線彎成S型插入比較牢靠),電源接地端已經(jīng)與面包板最下一排插孔相連。其它插座的使用注意事項結(jié)合具體實驗再講解。97實驗板說明:電源正極輸出端在最左邊的8孔插座輸出(由于該類插實驗一:分立元件及負反饋放大電路設(shè)計一、實驗原理:本實驗的原理在本單元實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)放大電路的基本概念和主要元器件參數(shù)的設(shè)計要點及電路性能指標的測試方法,預(yù)習(xí)時三極管放大倍數(shù)β暫時定為60。IC不要超過30mA,功率不要超過400mW,VCEO=30V。二、基本實驗內(nèi)容:1、放大器的設(shè)計放大器指標為:電壓增益KV=40,輸入阻抗Ri≥3kΩ,輸出阻抗Ro≤100Ω,不失真輸出動態(tài)范圍Vopp≥4V,低半功率點頻率fL≤100Hz。已知:負載阻抗RL=1kΩ;直流偏置電源電壓Ec=12V;晶體管參數(shù)β≥60。98實驗一:分立元件及負反饋放大電路設(shè)計一、實驗原理:本實驗的原*設(shè)計提示:(1)根據(jù)給定的放大器指標,輸出電阻比較小,所以輸出級應(yīng)采用射極跟隨器或引入電壓負反饋,而射極跟隨器無電壓增益,故采用兩級放大電路的設(shè)計,輸入級采用電壓增益較大的共發(fā)射極放大電路,由于射極跟隨器輸入電阻比較大,避免了負載效應(yīng),從而放大倍數(shù)比單級共發(fā)射極放大電路要大的多。由于要求輸入電阻比較大,應(yīng)在輸入端應(yīng)引入串聯(lián)反饋,由于要求的增益并不大,兩級放大電路可以滿足增益要求,故電路初步設(shè)計方案如下頁電路圖所示。(2)低半功率點頻率fL≤100Hz設(shè)計主要考慮電路中電容的取值,由于Ce兩端的交流等效電阻最小,對應(yīng)的時間常數(shù)最小,故fL主要取決于Ce的取值。(3)Q1、Q2級電路都采用分壓偏置方式,二級電路的靜態(tài)工作點電流ICQ1、ICQ2及靜態(tài)工作點電壓VCEQ1、VCEQ2具有較好的穩(wěn)定性。99*設(shè)計提示:1510016(4)偏置電阻設(shè)計要考慮靜態(tài)工作點接近放大區(qū)的中部(交流負載線的中部),同時要兼顧交流指標。工程上一般取VEQ1=0.2VCC或VEQ1=(1—3V),Rb11、Rb12取值應(yīng)考慮放大器的穩(wěn)定性和輸入電阻的要求。(5)設(shè)計可以從輸出級開始,首先靜態(tài)工作點應(yīng)該在交流負載線的中點,因此根據(jù)輸出電壓擺幅要求可初步確定輸出級的靜態(tài)工作點,由于實驗要求給出的電壓擺幅并不是最大擺幅,考慮到飽和壓降和負載影響,電壓擺幅應(yīng)留有一定的余量,在電源電壓12V的情況下,電壓擺幅取6V左右,由此初步確定靜態(tài)工作點VceQ2為3-5V左右,而RL=1K,則:IOPP=4-8mA;而IOPP′=EC′/RL′〉IOPP;

ICQ2=0.5IOPP′ICQ2的值應(yīng)根據(jù)上述推斷和三極管極限參數(shù)(9011:IC不要超過30mA,功率不要超過400mW,VCEO=30V)綜合而定。101(4)偏置電阻設(shè)計要考慮靜態(tài)工作點接近放大區(qū)的中部(交流負載(6)交流電壓放大倍數(shù)主要與RC1、后級的輸入阻抗以及負反饋電阻Re11有關(guān),需要注意的是由于RC1本身也是直流負載電阻,增大RC1可以提高增益但容易使三極管進入飽和區(qū),另一方面增大RC1也會使輸出電阻增大,因此RC1的取值應(yīng)首先考慮滿足增益要求,同時兼顧靜態(tài)工作點、電壓擺幅和輸出電阻的要求。(7)由于輸出級是射級跟隨器,因此輸入級的設(shè)計仍然要考慮電壓擺幅的要求,其靜態(tài)工作點仍應(yīng)該在其交流負載線的中點,由此初步確定輸入級的靜態(tài)工作點VceQ1為3-5V左右{可以根據(jù)(6)初步確定RC1的大小,由此確定三極管的靜態(tài)工作點,并計算增益、輸出電阻等指標是否符合要求}。(8)Q1的Re11為交流負反饋電阻,能夠提高輸入電阻,改善非線性失真,展寬通頻帶,太小負反饋作用不明顯,太大放大器增益下降明顯。102(6)交流電壓放大倍數(shù)主要與RC1、后級的輸入阻抗以及負2、放大器的PSPICE仿真軟件模擬仿真設(shè)計放大電路,進行瞬態(tài)分析,根據(jù)靜態(tài)工作電和輸出波形失真情況,修改電阻、電容的設(shè)計數(shù)值(注意電阻電容數(shù)值應(yīng)取系列值),調(diào)試電路工作正常并以滿足放大器設(shè)計指標的要求。進行交流分析測量帶寬和增益、交流輸入電阻、輸出電阻。3、放大器的實際電路安裝制作(1)用晶體管特性測試儀測量所用晶體管的參數(shù),記錄晶體管的實際β值。根據(jù)測量值修改軟件模擬仿真時三極管放大倍數(shù),重新調(diào)試電路工作正常并以滿足放大器設(shè)計指標的要求。(2)按軟件模擬仿真設(shè)計放大電路安裝一個放大器。要求元件排列合理、布線整齊、電接觸可靠。注意電源極性。1032、放大器的PSPICE仿真194、放大器參數(shù)測試(1)用逐級調(diào)試的方法排除故障,用示波器測量放大器的直流工作點,并與設(shè)計值、仿真值比較。調(diào)試電路的電阻、電容值,使放大器電路正常工作。(2)測量不失真輸出信號峰峰值Vopp,及對應(yīng)的輸入信號峰峰值Vipp,并與設(shè)計值、仿真值比較。(3)測量帶寬和增益、交流輸入電阻、輸出電阻,并與設(shè)計值、仿真值比較。5、寄生反饋及消除:在一般多級放大器中,總會產(chǎn)生各種類型的寄生反饋。寄生反饋的類型和消除辦法在講義中有詳細的講解,這里主要強調(diào)電源退耦的重要性。退耦元件的數(shù)量力求減少,接入位置要選擇得當。例如,對于如下圖所示電路,一般只要接入Cφ1即可。如果Cφ1仍不能消除寄生振蕩,再考慮接入Rφ2、Cφ3。1044、放大器參數(shù)測試2010521

Cφ的接入位置應(yīng)根據(jù)線路板的具體結(jié)構(gòu)正確選擇。例如,若放大器的電源Ec和放大器接線相距較遠,兩者之間是通過較長的導(dǎo)線連接的,對高頻信號而言,這根導(dǎo)線就等效于一個電感,如圖1-10所示。此時,Cφ1就應(yīng)接在靠近放大器的一側(cè)(圖中實線),而不應(yīng)接在靠近Ec一側(cè)(圖中虛線)。因為對高頻信號而言,連接導(dǎo)線的感抗ZL=jωL已相當可觀,輸出電流Io又會在ZL上建立新的反饋電壓VfL,等效于加大了電源的內(nèi)阻。106Cφ的接入位置應(yīng)根據(jù)線路板的具體結(jié)構(gòu)正確選擇。例如,三、提高實驗內(nèi)容:放大器指標為:電壓增益KV=50,輸入阻抗Ri≥3kΩ,輸出阻抗Ro≤100Ω,不失真輸出動態(tài)范圍Vopp≥6V,低半功率點頻率fL≤100Hz。已知:負載阻抗RL=1kΩ;直流偏置電源電壓Ec=12V;晶體管參數(shù)β≥60,根據(jù)放大器指標的要求,設(shè)計方案,確定電路中所有電阻、電容的設(shè)計數(shù)值,并進行各項指標的測量驗證設(shè)計的正確性。107三、提高實驗內(nèi)容:23實驗二:運算放大器基本應(yīng)用電路一、實驗原理:本實驗的原理在本單元實驗講義開始的原理部分作了詳細闡述,請自行參閱有關(guān)部分。實驗前要求預(yù)習(xí)有關(guān)運算放大器基本使用及調(diào)試方法、運放應(yīng)用電路及電路性能指標的測試方法。二、基本實驗內(nèi)容:1、同相和反相比例運算電路設(shè)計與測試:放大器電壓增益KV=10,要求測量不失真輸出動態(tài)范圍Vopp和放大器的幅頻特性。

直流電源電壓VCC=+8V,VSS=-8V。2、運放積分電路和微分電路設(shè)計與測試:運放積分電路:輸入矩形波Vopp=5V,調(diào)節(jié)積分電路時間常數(shù),記錄不同時間常數(shù)對輸出三角波的影響。運放微分電路:輸入三角波Vopp=5V,調(diào)節(jié)微分電路時間常數(shù),記錄不同時間常數(shù)對輸出矩形波的影響。108實驗二:運算放大器基本應(yīng)用電路一、實驗原理:本實驗的原理在本三、設(shè)計及調(diào)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論