電子技術(shù)綜合與應(yīng)用課件_第1頁
電子技術(shù)綜合與應(yīng)用課件_第2頁
電子技術(shù)綜合與應(yīng)用課件_第3頁
電子技術(shù)綜合與應(yīng)用課件_第4頁
電子技術(shù)綜合與應(yīng)用課件_第5頁
已閱讀5頁,還剩138頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第8章線圈繞線機(jī)計(jì)數(shù)器項(xiàng)目8.1問題的提出8.2問題的分析8.3本項(xiàng)目電路8.4繪制本項(xiàng)目電路原理圖8.5印制電路板的繪制8.6安裝調(diào)試本項(xiàng)目電路8.7項(xiàng)目總結(jié)第8章線圈繞線機(jī)計(jì)數(shù)器項(xiàng)目8.1問題的提出8.1問?題?的?提?出

小型變壓器線圈、小型電機(jī)線圈、電感線圈在繞線時(shí)需要繞線機(jī)對(duì)線圈的匝數(shù)進(jìn)行計(jì)數(shù)。常用的線圈計(jì)數(shù)器采用機(jī)械方式進(jìn)行計(jì)數(shù)和顯示,不直觀也不準(zhǔn)確??梢酝ㄟ^數(shù)字電路技術(shù)對(duì)線圈的匝數(shù)進(jìn)行計(jì)數(shù)并通過LED數(shù)碼管顯示計(jì)數(shù)值。根據(jù)要求,這樣的計(jì)數(shù)器應(yīng)具有如下功能:8.1問?題?的?提?出

小型變壓器線圈、(1)提供一個(gè)工作穩(wěn)定、頻率可變的脈沖產(chǎn)生電路,其脈沖的產(chǎn)生與繞線機(jī)轉(zhuǎn)軸轉(zhuǎn)過的圈數(shù)相關(guān),每轉(zhuǎn)過一圈產(chǎn)生一個(gè)脈沖。

(2)能對(duì)計(jì)數(shù)脈沖進(jìn)行計(jì)數(shù),并可根據(jù)轉(zhuǎn)軸的轉(zhuǎn)動(dòng)方向加1計(jì)數(shù)或減1計(jì)數(shù),通過7段數(shù)碼管顯示計(jì)數(shù)值。

(3)可預(yù)置計(jì)數(shù)初值。(1)提供一個(gè)工作穩(wěn)定、頻率可變的脈沖產(chǎn)生電路,其脈沖8.2問?題?的?分析

本項(xiàng)目的電路由傳感器電路(計(jì)數(shù)脈沖產(chǎn)生電路)、計(jì)數(shù)電路、預(yù)置電路、譯碼與顯示電路、報(bào)警和控制等電路組成。本項(xiàng)目電路的功能框圖見圖8-1。8.2問?題?的?分析

本項(xiàng)目的電路由傳圖8-1本項(xiàng)目電路功能框圖圖8-1本項(xiàng)目電路功能框圖8.2.1霍爾元件的分析

1.霍爾元件傳感器(脈沖產(chǎn)生電路)

在本項(xiàng)目的電路中采用霍爾元件作為傳感器,繞線機(jī)每轉(zhuǎn)一圈產(chǎn)生一個(gè)脈沖。

霍爾器件是一種磁傳感器。用它們可以檢測(cè)磁場(chǎng)及其變化,霍爾器件可在各種與磁場(chǎng)有關(guān)的場(chǎng)合中使用。霍爾器件以霍爾效應(yīng)為其工作基礎(chǔ)?;魻柶骷哂性S多優(yōu)點(diǎn),它們的結(jié)構(gòu)牢固,體積小,重量輕,壽命長,安裝方便,功耗小,頻率高(可達(dá)1?MHz),耐震動(dòng),不怕灰塵、油污、水汽及鹽霧等的污染或腐蝕。8.2.1霍爾元件的分析

1.霍爾元件傳感器(脈沖產(chǎn)霍爾線性器件的精度高,線性度好;霍爾開關(guān)器件無觸點(diǎn),無磨損,輸出波形清晰,無抖動(dòng),無回跳,位置重復(fù)精度高;取用了各種補(bǔ)償和保護(hù)措施的霍爾器件的工作溫度范圍寬,可達(dá)-55~150℃。

按照霍爾器件的功能,可將它們分為霍爾線性器件和霍爾開關(guān)器件。前者輸出模擬量,后者輸出數(shù)字量?;魻柧€性器件的精度高,線性度好;霍爾開關(guān)器件無觸點(diǎn),無磨按被檢測(cè)的對(duì)象的性質(zhì),可將它們的應(yīng)用分為直接應(yīng)用和間接應(yīng)用。前者是直接檢測(cè)出受檢測(cè)對(duì)象本身的磁場(chǎng)或磁特性,后者是檢測(cè)受檢對(duì)象上人為設(shè)置的磁場(chǎng),用這個(gè)磁場(chǎng)來作為被檢測(cè)信息的載體,通過它,將許多非電、非磁的物理量,例如力、力矩、壓力、應(yīng)力、位置、位移、速度、加速度、角度、角速度、轉(zhuǎn)數(shù)、轉(zhuǎn)速以及工作狀態(tài)發(fā)生變化的時(shí)間等,轉(zhuǎn)變成電量來進(jìn)行檢測(cè)和控制。按被檢測(cè)的對(duì)象的性質(zhì),可將它們的應(yīng)用分為直接應(yīng)用和間接應(yīng)

2.霍爾效應(yīng)

在一塊通電的半導(dǎo)體薄片上,加上和片子表面垂直的磁場(chǎng)B,在薄片的橫向兩側(cè)會(huì)出現(xiàn)一個(gè)電壓,如圖8-2中的VH,這種現(xiàn)象就是霍爾效應(yīng),是由科學(xué)家愛德·?霍爾在1879年發(fā)現(xiàn)的。VH稱為霍爾電壓。2.霍爾效應(yīng)

在一塊通電的半導(dǎo)體薄片上,加上和片子表圖8-2霍爾效應(yīng)示意圖圖8-2霍爾效應(yīng)示意圖霍爾效應(yīng)的產(chǎn)生,是因?yàn)橥姲雽?dǎo)體片中的載流子在磁場(chǎng)產(chǎn)生的洛侖茲力的作用下,分別向片子橫向兩側(cè)偏轉(zhuǎn)和積聚,因而形成一個(gè)電場(chǎng),稱做霍爾電場(chǎng)?;魻栯妶?chǎng)產(chǎn)生的電場(chǎng)力和洛侖茲力相反,它阻礙載流子繼續(xù)堆積,直到霍爾電場(chǎng)力和洛侖茲力相等。這時(shí),片子兩側(cè)建立起一個(gè)穩(wěn)定的電壓,這就是霍爾電壓。

在片子上作四個(gè)電極,其中C1、C2間通以工作電流I,C1、C2稱為電流電極,C3、C4間取出霍爾電壓VH,C3、C4稱為敏感電極。將各個(gè)電極焊上引線,并將片子用塑料封裝起來,就形成了一個(gè)完整的霍爾元件(又稱霍爾片)?;魻栃?yīng)的產(chǎn)生,是因?yàn)橥姲雽?dǎo)體片中的載流子在磁場(chǎng)產(chǎn)生的霍爾器件是用半導(dǎo)體材料制成的一種薄片,它是一種磁敏感器件,當(dāng)它處于磁場(chǎng)中時(shí),會(huì)產(chǎn)生電動(dòng)勢(shì)。在垂直磁場(chǎng)平面方向上施加外磁場(chǎng),再沿平面上加外電場(chǎng),則使電子在磁場(chǎng)中運(yùn)動(dòng),結(jié)果在器件的兩個(gè)側(cè)面之間產(chǎn)生霍爾電動(dòng)勢(shì),霍爾電動(dòng)勢(shì)的大小和外磁場(chǎng)以及電流大小成正比。用它們可以檢測(cè)磁場(chǎng)及其變化,可在各種與磁場(chǎng)有關(guān)的場(chǎng)合中使用?;魻柶骷曰魻栃?yīng)為其工作基礎(chǔ)?;魻柶骷怯冒雽?dǎo)體材料制成的一種薄片,它是一種磁敏感器件霍爾器件分為霍爾元件和霍爾集成電路兩大類。前者是一個(gè)簡單的霍爾片,使用時(shí)常常需要將獲得的霍爾電壓進(jìn)行放大;后者將霍爾片和它的信號(hào)處理電路集成在同一個(gè)芯

片上。

霍爾集成電路分為霍爾線性電路和霍爾開關(guān)電路?;魻柧€性電路由霍爾元件、差分放大器和射極跟隨器組成。其輸出電壓和加在霍爾元件上的磁感強(qiáng)度B成比例,它的功能框圖和輸出特性見圖8-3。這類電路有很高的靈敏度和優(yōu)良的線性度,適用于各種磁場(chǎng)強(qiáng)度的檢測(cè)?;魻柶骷譃榛魻栐突魻柤呻娐穬纱箢?。前者是一個(gè)簡單圖8-3霍爾線性電路的功能框圖和輸出特性圖8-3霍爾線性電路的功能框圖和輸出特性霍爾開關(guān)電路又稱霍爾數(shù)字電路,由穩(wěn)壓器、霍爾片、差分放大器,斯密特觸發(fā)器和輸出級(jí)組成。在外磁場(chǎng)的作用下,當(dāng)磁感應(yīng)強(qiáng)度超過導(dǎo)通閾值BOP時(shí),霍爾電路輸出管導(dǎo)通,輸出低電平。之后,B再增加,仍保持導(dǎo)通態(tài)。若外加磁場(chǎng)的B值降低到BRP時(shí),輸出管截止,輸出高電平。我們稱BOP為工作點(diǎn),BRP為釋放點(diǎn),BOP?-BRP?=?BH稱為回差。回差的存在使開關(guān)電路的抗干擾能力增強(qiáng)?;魻栭_關(guān)電路的功能框圖見圖8-4,圖8-4(a)表示集電極開路(OC)輸出,圖8-4(b)表示雙輸出。它們的輸出特性見圖8-5。圖8-5(a)表示普通霍爾開關(guān),圖8-5(b)表示鎖定型霍爾開關(guān)的輸出特性?;魻栭_關(guān)電路又稱霍爾數(shù)字電路,由穩(wěn)壓器、霍爾片、差分放大圖8-4霍爾開關(guān)電路的功能框圖圖8-4霍爾開關(guān)電路的功能框圖圖8-5霍爾開關(guān)電路的輸出特性圖8-5霍爾開關(guān)電路的輸出特性一般規(guī)定,當(dāng)外加磁場(chǎng)的南極(S極)接近霍爾電路外殼上打有標(biāo)志的一面時(shí),作用到霍爾電路上的磁場(chǎng)方向?yàn)檎?,北極接近標(biāo)志面時(shí)為負(fù)。

鎖定型霍爾開關(guān)電路的特點(diǎn)是:當(dāng)外加場(chǎng)B正向增加,達(dá)到BOP時(shí),電路導(dǎo)通,之后無論B增加或減小,甚至將B除去,電路都保持導(dǎo)通態(tài),只有達(dá)到負(fù)向的BRP時(shí),才改變?yōu)榻刂箲B(tài),因而稱為鎖定型。一般規(guī)定,當(dāng)外加磁場(chǎng)的南極(S極)接近霍爾電路外殼上打有本項(xiàng)目采用A44E型霍爾開關(guān)器件,引腳(1)接電源(電壓為4.5~24?V),引腳(2)接地線,引腳(3)為數(shù)字信號(hào)輸出端,接計(jì)數(shù)電路脈沖輸入端。

A44E集成霍爾開關(guān)由穩(wěn)壓器A、霍爾電動(dòng)勢(shì)發(fā)生器(即硅霍爾片)B、差分放大器C、施密特觸發(fā)器D和OC門輸出E五個(gè)基本部分組成,如圖8-6(a)所示。(1)、(2)、(3)代表集成霍爾開關(guān)的三個(gè)引出端點(diǎn)。本項(xiàng)目采用A44E型霍爾開關(guān)器件,引腳(1)接電源(電壓圖8-6集成開關(guān)型霍爾傳感器功能框圖及輸出特性圖8-6集成開關(guān)型霍爾傳感器功能框圖及輸出特性在輸入端,輸入電壓VCC經(jīng)穩(wěn)壓器穩(wěn)壓后加在霍爾電動(dòng)勢(shì)發(fā)生器的兩端,根據(jù)霍爾效應(yīng)原理,當(dāng)霍爾片處在磁場(chǎng)中時(shí),在垂直于磁場(chǎng)的方向通以電流,則與這二者相垂直的方向上將會(huì)產(chǎn)生霍爾電勢(shì)差VH輸出,該VH信號(hào)經(jīng)放大器放大后送至施密特觸發(fā)器整形,使其成為方波輸送到OC門輸出。當(dāng)施加的磁場(chǎng)達(dá)到工作點(diǎn)(即BOP)時(shí),觸發(fā)器輸出高電壓(相對(duì)于地電位),使三極管導(dǎo)通,此時(shí)OC門輸出端輸出低電壓,通常稱這種狀態(tài)為開。當(dāng)施加的磁場(chǎng)達(dá)到釋放點(diǎn)(即BRP)時(shí),觸發(fā)器輸出低電壓,三極管截止,使OC門輸出高電壓,這種狀態(tài)為關(guān)。這樣兩次電壓變換,使霍爾開關(guān)完成了一次開關(guān)動(dòng)作。在輸入端,輸入電壓VCC經(jīng)穩(wěn)壓器穩(wěn)壓后加在霍爾電動(dòng)勢(shì)發(fā)生BOP與BRP的差值一定,此差值BH?=?BOP-BRP,稱為磁滯,在此差值內(nèi),VO保持不變,因而使開關(guān)輸出穩(wěn)定可靠,這也就是集成霍爾開關(guān)傳感器優(yōu)良特性之一。集成霍爾開關(guān)傳感器輸出特性如圖8-6(b)所示。圖8-7(a)為霍爾開關(guān)的外形圖?;魻栭_關(guān)的磁鋼為直徑D=6.004mm、厚度L=3.032mm的釹鐵硼磁鋼,電源用直流,霍爾開關(guān)輸出由四位半直流數(shù)字電壓表指示,磁感應(yīng)強(qiáng)度B由95A型集成線性霍爾元件測(cè)量。測(cè)量時(shí)1、2兩端加+12?V直流電壓,在輸出端3與1之間接一個(gè)2kW?的負(fù)載電阻,如圖8-7(b)所示。BOP與BRP的差值一定,此差值BH?=?BOP-BRP圖8-7集成霍爾開關(guān)的外形及接線圖8-7集成霍爾開關(guān)的外形及接線1)輸出特性

霍爾傳感器的主要特性之一是它的輸出特性,即輸入磁感應(yīng)強(qiáng)度B與輸出電壓VO之間的關(guān)系。測(cè)量所得數(shù)據(jù)見表8-1。

從表中數(shù)據(jù)可見,A44E集成霍爾開關(guān)是單穩(wěn)態(tài)型。由測(cè)量數(shù)據(jù)作出的特性曲線如圖8-6(b)所示。1)輸出特性

霍爾傳感器的主要特性之一是它的輸出特表8-1霍爾元件的輸出特性

表8-1霍爾元件的輸出特性

2)磁輸入特性

霍爾傳感器的另一主要特性是磁輸入特性。其磁輸入有三種基本情況:單極磁場(chǎng)、雙極磁場(chǎng)和交變磁場(chǎng)。A44E集成霍爾開關(guān)的磁輸入為單極磁場(chǎng),即施加磁場(chǎng)的方式是改變磁鐵和集成霍爾開關(guān)之間的距離。測(cè)量時(shí),將磁鐵固定,移動(dòng)集成霍爾開關(guān),并且使移動(dòng)方向在磁鐵與霍爾開關(guān)的軸心線方向上。實(shí)驗(yàn)顯示,當(dāng)磁鐵和霍爾開關(guān)移近到一定位置時(shí),霍爾開關(guān)接通。二者移開一定距離后,霍爾開關(guān)斷開。2)磁輸入特性

霍爾傳感器的另一主要特性是磁輸入特若設(shè)兩者之間的距離為r,則測(cè)得r=4?mm時(shí),霍爾開關(guān)導(dǎo)通,此時(shí)B=16.9mT;而r=5?mm時(shí),霍爾開關(guān)斷開,測(cè)得B=3.2mT。可見導(dǎo)通點(diǎn)與釋放點(diǎn)間的距離為1?mm,這是用直徑只有D=4.0?mm的釹鐵硼強(qiáng)磁材料做成磁鐵測(cè)量的結(jié)果。其他形狀和大小磁鐵的測(cè)量結(jié)果略有不同,詳見表8-2。若設(shè)兩者之間的距離為r,則測(cè)得r=4?mm時(shí),霍爾開關(guān)導(dǎo)表8-2霍爾元件的輸入特性

表8-2霍爾元件的輸入特性

由霍爾元件組成的電路,可以進(jìn)行磁場(chǎng)強(qiáng)度測(cè)量。當(dāng)霍爾元件放在磁場(chǎng)強(qiáng)度大的地方,則輸出低電平;當(dāng)磁場(chǎng)小或撤銷磁場(chǎng)時(shí),霍爾元件輸出高電平。

在本項(xiàng)目中將一塊小的永久磁鐵安置在與繞線機(jī)轉(zhuǎn)軸剛性連接的轉(zhuǎn)盤上,轉(zhuǎn)軸轉(zhuǎn)動(dòng)時(shí)磁鐵也隨之轉(zhuǎn)動(dòng),霍爾元件放置在繞線機(jī)的固定端(不轉(zhuǎn)動(dòng)),并能感受到磁鐵磁性的位置。這樣,轉(zhuǎn)軸轉(zhuǎn)一圈,線圈繞一圈,霍爾元件產(chǎn)生一個(gè)脈沖。由霍爾元件組成的電路,可以進(jìn)行磁場(chǎng)強(qiáng)度測(cè)量。當(dāng)霍爾元件放8.2.2計(jì)數(shù)器

計(jì)數(shù)器是數(shù)字電路系統(tǒng)中應(yīng)用最多的時(shí)序電路,它是一種對(duì)輸入計(jì)數(shù)脈沖CP個(gè)數(shù)進(jìn)行計(jì)數(shù),并能記憶的數(shù)字裝置。計(jì)數(shù)器是對(duì)脈沖信號(hào)進(jìn)行計(jì)數(shù)的,所謂脈沖信號(hào)是指在短暫的時(shí)間間隔內(nèi)作用于電路的電壓或電流。廣義地講,凡是不連續(xù)出現(xiàn)的電壓或電流都稱為脈沖信號(hào)。從信號(hào)波形來說,除了正弦波和若干個(gè)正弦分量合成的連續(xù)波以外,都可以稱為脈沖波。常見的脈沖信號(hào)波形如圖8-8所示。8.2.2計(jì)數(shù)器

計(jì)數(shù)器是數(shù)字電路系統(tǒng)中應(yīng)用最多的時(shí)圖8-8幾種常見的脈沖信號(hào)波形圖8-8幾種常見的脈沖信號(hào)波形處理脈沖信號(hào)的電路稱為脈沖電路。脈沖電路主要研究脈沖的產(chǎn)生、變換、整形等問題,著重分析輸入、輸出波形的形狀、幅度及頻率等。在工程應(yīng)用中,脈沖產(chǎn)生電路一般都是由傳感器電路表現(xiàn)出來的。如霍爾元件組成的傳感器電路,紅外對(duì)管元件組成的傳感器電路,另外,常見的脈沖產(chǎn)生電路還有555振蕩電路。處理脈沖信號(hào)的電路稱為脈沖電路。脈沖電路主要研究脈沖的產(chǎn)脈沖產(chǎn)生電路產(chǎn)生的波形有時(shí)是不規(guī)則的,需要加一級(jí)整形電路把不規(guī)則的波形轉(zhuǎn)換成一定寬度和幅度的脈沖,以便脈沖信號(hào)能被計(jì)數(shù)電路所識(shí)別。典型的整形電路有施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器。用門電路可以構(gòu)成施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器和多諧振蕩器,也可用555定時(shí)器構(gòu)成施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器和多諧振蕩器。

計(jì)數(shù)器按計(jì)數(shù)脈沖引入方式,分為同步和異步計(jì)數(shù)器;按進(jìn)位制,分為二進(jìn)制、十進(jìn)制和N進(jìn)制計(jì)數(shù)器;按邏輯功能,分為加法、減法和可逆計(jì)數(shù)器;按集成度,分為小規(guī)模與中規(guī)模集成計(jì)數(shù)器。脈沖產(chǎn)生電路產(chǎn)生的波形有時(shí)是不規(guī)則的,需要加一級(jí)整形電路異步計(jì)數(shù)器:

異步二進(jìn)制計(jì)數(shù)器在做“加1或減1”計(jì)數(shù)時(shí),是采取從低位到高位逐位進(jìn)位或借位的方式工作的。因此,各個(gè)觸發(fā)器不是同時(shí)翻轉(zhuǎn)的。這類電路的特點(diǎn)是CP信號(hào)只作用于第一級(jí),由前級(jí)為后級(jí)提供驅(qū)動(dòng)狀態(tài)變化的信號(hào)。如圖8-9所示,第一級(jí)輸出信號(hào)Q0或其反相輸出的上升沿或下降沿滯后于CP的上升沿(傳輸延遲時(shí)間)。以這種信號(hào)作為后級(jí)的驅(qū)動(dòng)信號(hào),使第二級(jí)的輸出信號(hào)相對(duì)于CP的延遲時(shí)間為兩級(jí)電路的延遲時(shí)間。由于觸發(fā)器的輸出信號(hào)相對(duì)于初始的CP的延遲時(shí)間隨級(jí)數(shù)增加而累加,故各級(jí)的輸出信號(hào)不是同步信號(hào),因而叫做異步計(jì)數(shù)器。異步計(jì)數(shù)器:

異步二進(jìn)制計(jì)數(shù)器在做“加1或減1”計(jì)數(shù)圖8-93位二進(jìn)制異步加法計(jì)數(shù)器圖8-93位二進(jìn)制異步加法計(jì)數(shù)器同步計(jì)數(shù)器:

所有觸發(fā)器的時(shí)鐘控制端均由計(jì)數(shù)脈沖CP輸入,CP的每一個(gè)觸發(fā)沿都會(huì)使所有的觸發(fā)器狀態(tài)更新。應(yīng)控制觸發(fā)器的輸入端,可將觸發(fā)器接成T觸發(fā)器。當(dāng)?shù)臀徊幌蚋呶贿M(jìn)位時(shí),令高位觸發(fā)器的T=0,觸發(fā)器狀態(tài)保持不變;當(dāng)?shù)臀幌蚋呶贿M(jìn)位時(shí),令高位觸發(fā)器的T=1,觸發(fā)器翻轉(zhuǎn),計(jì)數(shù)加1。同步計(jì)數(shù)器:

所有觸發(fā)器的時(shí)鐘控制端均由計(jì)數(shù)脈沖CP二進(jìn)制異步加計(jì)數(shù)器:

1)電路結(jié)構(gòu)

以3位二進(jìn)制異步加法計(jì)數(shù)器為例,如圖8-9所示,該電路由3個(gè)上升沿觸發(fā)的D觸發(fā)器組成,具有以下特點(diǎn):每個(gè)D觸發(fā)器輸入端接該觸發(fā)器Q端信號(hào),因而Qn+1=Qn,即各D觸發(fā)器均處于計(jì)數(shù)狀態(tài);計(jì)數(shù)脈沖加到最低位觸發(fā)器的CP端,每個(gè)觸發(fā)器的Q端信號(hào)接到相鄰高位的CP端。二進(jìn)制異步加計(jì)數(shù)器:

1)電路結(jié)構(gòu)

以3位二進(jìn)2)原理分析

假設(shè)各觸發(fā)器均處于0態(tài),根據(jù)電路結(jié)構(gòu)特點(diǎn)以及D觸發(fā)器的工作特性,不難得到其狀態(tài)圖和時(shí)序圖,它們分別如圖8-10和圖8-11所示。其中虛線是考慮觸發(fā)器的傳輸延遲時(shí)間tpd后的波形。2)原理分析

假設(shè)各觸發(fā)器均處于0態(tài),根據(jù)電路結(jié)構(gòu)圖8-10狀態(tài)圖圖8-10狀態(tài)圖圖8-11時(shí)序圖圖8-11時(shí)序圖由狀態(tài)圖可以清楚地看到,從初始狀態(tài)000(由清零脈沖所置)開始,每輸入一個(gè)計(jì)數(shù)脈沖,計(jì)數(shù)器的狀態(tài)按二進(jìn)制遞增(加1),輸入第8個(gè)計(jì)數(shù)脈沖后,計(jì)數(shù)器又回到000狀態(tài)。因此它是八進(jìn)制加計(jì)數(shù)器,也稱模8(M=8)加計(jì)數(shù)器。

從時(shí)序圖可以清楚地看到,Q0、Q1、Q2的周期分別是計(jì)數(shù)脈沖(CP)周期的2倍、4倍、8倍,也就是說,Q0、Q1、Q2分別對(duì)CP波形進(jìn)行了二分頻、四分頻、八分頻,因而計(jì)數(shù)器也可作為分頻器。由狀態(tài)圖可以清楚地看到,從初始狀態(tài)000(由清零脈沖所置需要說明的是,由圖8-11中的虛線波形可知,在考慮各觸發(fā)器的傳輸延遲時(shí)間tpd時(shí),對(duì)于一個(gè)n位的二進(jìn)制異步計(jì)數(shù)器來說,從一個(gè)計(jì)數(shù)脈沖(設(shè)為上升沿起作用)到來,到n個(gè)觸發(fā)器都翻轉(zhuǎn)穩(wěn)定,需要經(jīng)歷的最長時(shí)間是ntpd,為保證計(jì)數(shù)器的狀態(tài)能正確反映計(jì)數(shù)脈沖的個(gè)數(shù),下一個(gè)計(jì)數(shù)脈沖(上升沿)必須在ntpd后到來,因此計(jì)數(shù)脈沖的最小周期Tmin?=?ntpd。需要說明的是,由圖8-11中的虛線波形可知,在考慮各觸發(fā)二進(jìn)制異步減計(jì)數(shù)器:

圖8-12是3位二進(jìn)制異步減計(jì)數(shù)器的電路圖和狀態(tài)圖。從初態(tài)000開始,在第一個(gè)計(jì)數(shù)脈沖作用后,觸發(fā)器FF0由0翻轉(zhuǎn)為1(Q0的借位信號(hào)),此上升沿使FF1也由0翻轉(zhuǎn)為1(Q1的借位信號(hào)),這個(gè)上升沿又使FF2由0翻轉(zhuǎn)為1,即計(jì)數(shù)器由000變成了111狀態(tài)。在這一過程中,Q0向Q1進(jìn)行了借位,Q1向Q2進(jìn)行了借位。此后,每輸入1個(gè)計(jì)數(shù)脈沖,計(jì)數(shù)器的狀態(tài)按二進(jìn)制遞減(減1)。輸入第8個(gè)計(jì)數(shù)脈沖后,計(jì)數(shù)器又回到000狀態(tài),完成一次循環(huán)。因此,該計(jì)數(shù)器是八進(jìn)制(模8)異步減計(jì)數(shù)器,它同樣具有分頻作用。二進(jìn)制異步減計(jì)數(shù)器:

圖8-12是3位二進(jìn)制異步減計(jì)圖8-123位二進(jìn)制異步減法計(jì)數(shù)器圖8-123位二進(jìn)制異步減法計(jì)數(shù)器綜上所述,可對(duì)二進(jìn)制異步計(jì)數(shù)器歸納出以下兩點(diǎn):

(1)?n位二進(jìn)制異步計(jì)數(shù)器由n個(gè)處于計(jì)數(shù)工作狀態(tài)(對(duì)于D觸發(fā)器,使Di?=?;對(duì)于JK觸發(fā)器,使Ji?=?Ki?=1)的觸發(fā)器組成。各觸發(fā)器之間的連接方式由加、減計(jì)數(shù)方式及觸發(fā)器的觸發(fā)方式?jīng)Q定。對(duì)于加計(jì)數(shù)器,若用上升沿觸發(fā)的觸發(fā)器組成,則應(yīng)將低位觸發(fā)器的端與相鄰高一位觸發(fā)器的時(shí)鐘脈沖輸入端相連(即進(jìn)位信號(hào)應(yīng)從觸發(fā)器的端引出);若用下降沿觸發(fā)的觸發(fā)器組成,則應(yīng)將低位觸發(fā)器的Q端與相鄰高一位觸發(fā)器的時(shí)鐘脈沖輸入端連接。對(duì)于減計(jì)數(shù)器,各觸發(fā)器的連接方式則相反。綜上所述,可對(duì)二進(jìn)制異步計(jì)數(shù)器歸納出以下兩點(diǎn):

(1(2)在二進(jìn)制異步計(jì)數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須在低一位觸發(fā)器產(chǎn)生進(jìn)位信號(hào)(加計(jì)數(shù))或借位信號(hào)(減計(jì)數(shù))之后才能實(shí)現(xiàn),故又稱這種類型的計(jì)數(shù)器為串行計(jì)數(shù)器。也正因?yàn)槿绱?,異步?jì)數(shù)器的工作速度較低。(2)在二進(jìn)制異步計(jì)數(shù)器中,高位觸發(fā)器的狀態(tài)翻轉(zhuǎn)必須二進(jìn)制同步計(jì)數(shù)器:

為了提高計(jì)數(shù)速度,可采用同步計(jì)數(shù)器,其特點(diǎn)是:計(jì)數(shù)脈沖同時(shí)接于各位觸發(fā)器的時(shí)鐘脈沖輸入端,當(dāng)計(jì)數(shù)脈沖到來時(shí),各觸發(fā)器同時(shí)被觸發(fā),應(yīng)該翻轉(zhuǎn)的觸發(fā)器是同時(shí)翻轉(zhuǎn)的,沒有各級(jí)延遲時(shí)間的積累問題。同步計(jì)數(shù)器也可稱為并行計(jì)數(shù)器。

二進(jìn)制同步加計(jì)數(shù)器:

圖8-13所示是用JK觸發(fā)器(已令J=K)組成的4位二進(jìn)制(M=16)同步加計(jì)數(shù)器。二進(jìn)制同步計(jì)數(shù)器:

為了提高計(jì)數(shù)速度,可采用同步計(jì)數(shù)圖8-13二進(jìn)制同步加計(jì)數(shù)器圖8-13二進(jìn)制同步加計(jì)數(shù)器由圖可見,各位觸發(fā)器的時(shí)鐘脈沖輸入端接同一計(jì)數(shù)脈沖CP,各觸發(fā)器的驅(qū)動(dòng)方程分別為J0=K0=1,J1=K1=Q0、J2=K2=Q0Q1、J3=K3=Q0Q1Q2。

根據(jù)同步時(shí)序電路的分析方法,可得到該電路的狀態(tài)表,如表8-3所示。設(shè)從初態(tài)0000開始,因?yàn)镴0=K0=1,所以每輸入一個(gè)計(jì)數(shù)脈沖CP,最低位觸發(fā)器FF0就翻轉(zhuǎn)一次,其他位的觸發(fā)器FFi僅在Ji=Ki=Qi-1Qi-2…Q0=1的條件下,在CP下降沿到來時(shí)才翻轉(zhuǎn)。由圖可見,各位觸發(fā)器的時(shí)鐘脈沖輸入端接同一計(jì)數(shù)脈沖CP,表8-3二進(jìn)制同步加計(jì)數(shù)器狀態(tài)表

表8-3二進(jìn)制同步加計(jì)數(shù)器狀態(tài)表

圖8-14是圖8-13所示電路的時(shí)序圖,其中虛線是考慮觸發(fā)器的傳輸延遲時(shí)間tpd后的波形。由圖8-14可知,在同步計(jì)數(shù)器中,由于計(jì)數(shù)脈沖CP同時(shí)作用于各個(gè)觸發(fā)器,所有觸發(fā)器的翻轉(zhuǎn)是同時(shí)進(jìn)行的,都比計(jì)數(shù)脈沖CP的作用時(shí)間滯后一個(gè)tpd,因此其工作速度一般要比異步計(jì)數(shù)器高。圖8-14是圖8-13所示電路的時(shí)序圖,其中虛線是考慮觸圖8-14二進(jìn)制同步加計(jì)數(shù)器時(shí)序圖圖8-14二進(jìn)制同步加計(jì)數(shù)器時(shí)序圖應(yīng)當(dāng)指出的是,同步計(jì)數(shù)器的電路結(jié)構(gòu)較異步計(jì)數(shù)器復(fù)雜,需要增加一些輸入控制電路,因而其工作速度也要受這些控制電路的傳輸延遲時(shí)間的限制。如果將圖8-13電路中觸發(fā)器FF1、FF2和FF3的驅(qū)動(dòng)信號(hào)分別改為

即可構(gòu)成4位二進(jìn)制同步減計(jì)數(shù)器。應(yīng)當(dāng)指出的是,同步計(jì)數(shù)器的電路結(jié)構(gòu)較異步計(jì)數(shù)器復(fù)雜,需要二進(jìn)制同步可逆計(jì)數(shù)器:

在實(shí)際應(yīng)用中,有時(shí)要求一個(gè)計(jì)數(shù)器既能作加計(jì)數(shù)又能作減計(jì)數(shù)。同時(shí)兼有加和減兩種計(jì)數(shù)功能的計(jì)數(shù)器稱為可逆計(jì)數(shù)器。

4位二進(jìn)制同步可逆計(jì)數(shù)器如圖8-15所示,它是在前面介紹的4位二進(jìn)制同步加和減計(jì)數(shù)器的基礎(chǔ)上,增加一個(gè)控制電路構(gòu)成的。二進(jìn)制同步可逆計(jì)數(shù)器:

在實(shí)際應(yīng)用中,有時(shí)要求一個(gè)計(jì)由圖8-15可知,各觸發(fā)器的驅(qū)動(dòng)方程分別為由圖8-15可知,各觸發(fā)器的驅(qū)動(dòng)方程分別為圖8-154位二進(jìn)制同步可逆計(jì)數(shù)器圖8-154位二進(jìn)制同步可逆計(jì)數(shù)器當(dāng)加/減控制信號(hào)x=1時(shí),F(xiàn)F1~FF3中的各J、K端分別與低位各觸發(fā)器的Q端接通,進(jìn)行加計(jì)數(shù);當(dāng)x=0時(shí),各J、K端分別與低位各觸發(fā)器的端接通,進(jìn)行減計(jì)數(shù),實(shí)現(xiàn)了可逆計(jì)數(shù)器的功能。

二進(jìn)制計(jì)數(shù)器具有電路結(jié)構(gòu)簡單、運(yùn)算方便等特點(diǎn),但是日常生活中我們所接觸的大部分都是十進(jìn)制數(shù),特別是當(dāng)二進(jìn)制數(shù)的位數(shù)較多時(shí),閱讀非常困難,這就有必要討論一下十進(jìn)制計(jì)數(shù)器。在十進(jìn)制計(jì)數(shù)體制中,每位數(shù)都可能是0,1,2,…,9十個(gè)數(shù)碼中的任意一個(gè),且“逢十進(jìn)一”。當(dāng)加/減控制信號(hào)x=1時(shí),F(xiàn)F1~FF3中的各J、K端分根據(jù)計(jì)數(shù)器的構(gòu)成原理,必須由四個(gè)觸發(fā)器的狀態(tài)來表示一位十進(jìn)制數(shù)的四位二進(jìn)制編碼,而四位編碼總共有十六個(gè)狀態(tài),所以必須去掉其中的六個(gè)狀態(tài),至于去掉哪六個(gè)狀態(tài),可有不同的選擇。這里考慮去掉1010~1111這六個(gè)狀態(tài),即采用8421BCD碼的編碼方式來表示一位十進(jìn)制數(shù)。

8421BCD碼異步十進(jìn)制加計(jì)數(shù)器:

用JK主從觸發(fā)器組成的一位異步十進(jìn)制加計(jì)數(shù)器如圖8-16(a)所示。根據(jù)計(jì)數(shù)器的構(gòu)成原理,必須由四個(gè)觸發(fā)器的狀態(tài)來表示一位十圖8-168421BCD碼異步十進(jìn)制加計(jì)數(shù)器(a)邏輯圖;(b)工作波形圖8-168421BCD碼異步十進(jìn)制加計(jì)數(shù)器1)電路結(jié)構(gòu)

由四個(gè)JK主從觸發(fā)器組成,其中FF0始終處于計(jì)數(shù)狀態(tài)。Q0同時(shí)觸發(fā)FF1和FF3,Q3反饋到J1,Q2Q1作為J3端信號(hào)。1)電路結(jié)構(gòu)

由四個(gè)JK主從觸發(fā)器組成,其中FF02)工作原理

(1)工作波形分析法:由邏輯圖可知,在FF3翻轉(zhuǎn)以前,即從狀態(tài)0000到0111為止,各觸發(fā)器翻轉(zhuǎn)情況與異步二進(jìn)制遞增計(jì)數(shù)器相同。第八個(gè)脈沖輸入后,四個(gè)觸發(fā)器狀態(tài)為1000,此時(shí)Q3=0,下一個(gè)FF0來的負(fù)階躍電壓不能使FF1翻轉(zhuǎn)。因而在第十個(gè)脈沖輸入后,觸發(fā)器狀態(tài)由1001變?yōu)?000,而不是1010,從而使四個(gè)觸發(fā)器跳過1010~1111這六個(gè)狀態(tài)而復(fù)位到原始狀態(tài)0000,其工作波形如圖8-16(b)所示。

當(dāng)?shù)谑畟€(gè)脈沖作用后,產(chǎn)生進(jìn)位輸出信號(hào)C0=Q3Q0。2)工作原理

(1)工作波形分析法:由邏輯圖可知(2)狀態(tài)方程分析法:首先列出各觸發(fā)器驅(qū)動(dòng)方程:(2)狀態(tài)方程分析法:首先列出各觸發(fā)器驅(qū)動(dòng)方程:觸發(fā)器在異步方式工作時(shí),若有CP觸發(fā)沿輸入,其狀態(tài)由特征方程確定,否則維持原態(tài)不變。這時(shí)觸發(fā)器的特征方程可變?yōu)镼n+1=(JQn+KQn)CP↓+QnCP↓,其中CP↓=1表示有CP觸發(fā)沿加入,CP=0表示沒有CP觸發(fā)沿加入,所以可以寫出以下狀態(tài)方程:觸發(fā)器在異步方式工作時(shí),若有CP觸發(fā)沿輸入,其狀態(tài)由特征

根據(jù)以上狀態(tài)方程,即可列出計(jì)數(shù)器的狀態(tài)轉(zhuǎn)移表,如表8-4所示。

根據(jù)以上狀態(tài)方程,即可列出計(jì)數(shù)器的狀態(tài)表8-4異步十進(jìn)制加計(jì)數(shù)器狀態(tài)轉(zhuǎn)移表

表8-4異步十進(jìn)制加計(jì)數(shù)器狀態(tài)轉(zhuǎn)移表

以上兩種方法均表明該邏輯電路具有8421碼異步十進(jìn)制遞增計(jì)數(shù)的功能。

8421碼同步十進(jìn)制加計(jì)數(shù)器:

1)電路結(jié)構(gòu)

電路結(jié)構(gòu)如圖8-17所示,它由四個(gè)主從JK觸發(fā)器組成,各觸發(fā)器共用同一個(gè)計(jì)數(shù)脈沖,是同步時(shí)序邏輯電路。以上兩種方法均表明該邏輯電路具有8421碼異步十進(jìn)制遞增圖8-178421碼同步十進(jìn)制加計(jì)數(shù)器圖8-178421碼同步十進(jìn)制加計(jì)數(shù)器2)工作原理

各觸發(fā)器方程如下:2)工作原理

各觸發(fā)器方程如下:將驅(qū)動(dòng)方程代入JK觸發(fā)器特征方程,得狀態(tài)轉(zhuǎn)移方程:將驅(qū)動(dòng)方程代入JK觸發(fā)器特征方程,得狀態(tài)轉(zhuǎn)移方程:由于各觸發(fā)器共用同一個(gè)時(shí)鐘脈沖,故上式中的CP↓可忽略不寫。

設(shè)計(jì)數(shù)器狀態(tài)為Q3Q2Q1Q0=0000,根據(jù)狀態(tài)方程可列出狀態(tài)轉(zhuǎn)移真值表,該表與表8-5相同(不包括CP部分),所以該電路是8421碼十進(jìn)制遞增計(jì)數(shù)器。由于各觸發(fā)器共用同一個(gè)時(shí)鐘脈沖,故上式中的CP↓可忽略不表8-5幾種集成計(jì)數(shù)器

表8-5幾種集成計(jì)數(shù)器

常用集成計(jì)數(shù)器電路介紹:

所謂集成計(jì)數(shù)器,就是把時(shí)序電路組成的計(jì)數(shù)器集成到一塊芯片里去,由于它具有體積小、功耗低、功能靈活等優(yōu)點(diǎn),因此在一些簡單的小型數(shù)字系統(tǒng)中被廣泛應(yīng)用。集成計(jì)數(shù)器的類型很多,表8-5列舉了若干集成計(jì)數(shù)器產(chǎn)品。下面介紹幾個(gè)較典型產(chǎn)品的功能和應(yīng)用。常用集成計(jì)數(shù)器電路介紹:

所謂集成計(jì)數(shù)器,就是把時(shí)序1)?74161

74161是4位二進(jìn)制同步加計(jì)數(shù)器。圖8-18(a)、(b)分別是它的邏輯電路圖和引腳圖,其中RD是異步清零端,LD是預(yù)置數(shù)控制端,A、B、C、D是預(yù)置數(shù)據(jù)輸入端,EP和ET是計(jì)數(shù)使能端,RCO=ETQAQBQCQD是進(jìn)位輸出端,它的設(shè)置為多片集成計(jì)數(shù)器的級(jí)聯(lián)提供了方便。1)?74161

74161是4位二進(jìn)制同步加計(jì)數(shù)圖8-1874161的邏輯電路圖和引腳圖圖8-1874161的邏輯電路圖和引腳圖表8-6是74161的功能表。由表可知,74161具有以下功能:

(1)異步清零。當(dāng)RD

=0時(shí),不管其他輸入端的狀態(tài)如何(包括時(shí)鐘信號(hào)CP),計(jì)數(shù)器輸出將被直接置零,稱為異步清零。

(2)同步并行預(yù)置數(shù)。在RD=1的條件下,當(dāng)LD=0且有時(shí)鐘脈沖CP的上升沿作用時(shí),A、B、C、D輸入端的數(shù)據(jù)將分別被QA~QD所接收。由于這個(gè)置數(shù)操作要與CP上升沿同步,且A~D的數(shù)據(jù)同時(shí)置入計(jì)數(shù)器,所以稱為同步并行置數(shù)。表8-6是74161的功能表。由表可知,74161具有以(3)保持。在RD=LD=1的條件下,當(dāng)ET???EP=0,即兩個(gè)計(jì)數(shù)使能端中有0時(shí),不管有無CP脈沖作用,計(jì)數(shù)器都將保持原有狀態(tài)不變(停止計(jì)數(shù))。需要說明的是,當(dāng)EP=0,ET=1時(shí),進(jìn)位輸出RCO也保持不變;而當(dāng)ET=0時(shí),不管EP狀態(tài)如何,進(jìn)位輸出RCO=0。

(4)計(jì)數(shù)。當(dāng)RD=LD=EP=ET=1時(shí),74161處于計(jì)數(shù)狀態(tài),其狀態(tài)表與表8-6相同。(3)保持。在RD=LD=1的條件下,當(dāng)ET???EP表8-674161的功能表

表8-674161的功能表

圖8-19是74161的時(shí)序圖。由時(shí)序圖可以清楚地看到74161的功能和各控制信號(hào)間的時(shí)序關(guān)系。圖8-19是74161的時(shí)序圖。由時(shí)序圖可以清楚地看到7圖8-1974161的時(shí)序圖圖8-1974161的時(shí)序圖由圖8-19可知,首先加入一清零信號(hào)RD=0,使各觸發(fā)器的狀態(tài)為0,即計(jì)數(shù)器清零。RD變?yōu)?后,加入一置數(shù)信號(hào)LD=0,該信號(hào)需維持到下一個(gè)時(shí)鐘脈沖的正跳變到來后。在這個(gè)置數(shù)信號(hào)和時(shí)鐘脈沖上升沿的共同作用下,各觸發(fā)器的輸出狀態(tài)與預(yù)置的輸入數(shù)據(jù)相同(圖中DCBA=1100),這就是預(yù)置操作。接著是EP=ET=1,在此期間,74161處于計(jì)數(shù)狀態(tài)。這里是從預(yù)置的DCBA=1100開始計(jì)數(shù),直到EP=0,ET=1,計(jì)數(shù)狀態(tài)結(jié)束,轉(zhuǎn)為保持狀態(tài),計(jì)數(shù)器輸出保持EP負(fù)跳變前的狀態(tài)不變,圖中QDQCQBQA=0010,RCO=0。高速CMOS集成器件74HC161、74HCT161的邏輯功能、外形和尺寸、引腳排列順序等與74161完全相同。由圖8-19可知,首先加入一清零信號(hào)RD=0,使各觸發(fā)器2)?74LS193

74LS193是雙時(shí)鐘4位二進(jìn)制同步可逆計(jì)數(shù)器。圖8-20(a)、(b)分別是它的邏輯電路圖和引腳圖,表8-7是它的功能表。74LS193的特點(diǎn)是有兩個(gè)時(shí)鐘脈沖(計(jì)數(shù)脈沖)輸入端CPU和CPD。在RD=0、LD=1的條件下,作加計(jì)數(shù)時(shí),令CPD=1,計(jì)數(shù)脈沖從CPU輸入;作減計(jì)數(shù)時(shí),令CPU=1,計(jì)數(shù)脈沖從CPD輸入。此外,74LS193還具有異步清零和異步預(yù)置數(shù)的功能。2)?74LS193

74LS193是雙時(shí)鐘4位二當(dāng)清零信號(hào)RD=1時(shí),不管時(shí)鐘脈沖的狀態(tài)如何,計(jì)數(shù)器的輸出將被直接置零;當(dāng)RD=0,LD=0時(shí),不管時(shí)鐘脈沖的狀態(tài)如何,將立即把預(yù)置數(shù)據(jù)輸入端A、B、C、D的狀態(tài)置入計(jì)數(shù)器的QA、QB、QC、QD端,稱為異步預(yù)置數(shù)。74HC193、74HCT193的邏輯功能及引腳圖與74LS193完全相同。當(dāng)清零信號(hào)RD=1時(shí),不管時(shí)鐘脈沖的狀態(tài)如何,計(jì)數(shù)器的輸圖8-20雙時(shí)鐘4位二進(jìn)制同步可逆計(jì)數(shù)器74LS193圖8-20雙時(shí)鐘4位二進(jìn)制同步可逆計(jì)數(shù)器74LS193表8-774LS193的功能表

表8-774LS193的功能表

3)?74LS290的功能

74LS290是異步十進(jìn)制計(jì)數(shù)器,其邏輯電路圖和引腳圖如圖8-21(a)、(b)所示,它由1個(gè)1位二進(jìn)制計(jì)數(shù)器和1個(gè)異步五進(jìn)制計(jì)數(shù)器組成。如果計(jì)數(shù)脈沖由CPA端引入,輸出由QA端引出,即得二進(jìn)制計(jì)數(shù)器;如果計(jì)數(shù)脈沖由CPB端輸入,輸出由QB~QD引出,即得五進(jìn)制計(jì)數(shù)器;如果將QA與CPB相連,計(jì)數(shù)脈沖由CPA輸入,輸出由QA~QD引出,即得8421碼十進(jìn)制計(jì)數(shù)器。因此,又稱此電路為二-五-十進(jìn)制計(jì)數(shù)器。表8-8是74LS290的功能表。3)?74LS290的功能

74LS290是異步十圖8-21異步十進(jìn)制計(jì)數(shù)器74LS290圖8-21異步十進(jìn)制計(jì)數(shù)器74LS290表8-874LS290的功能表

表8-874LS290的功能表

由表可以看出,當(dāng)復(fù)位輸入R0(1)=R0(2)=1,且置位輸入R9(1)=R9(2)=0時(shí),74LS290的輸出被直接置零;只要置位輸入R9(1)=R9(2)=1,則74LS290的輸出被直接置9,即QDQCQBQA=1001;只有同時(shí)滿足R0(1)=R0(2)=0和R9(1)=R9(2)=0時(shí),才能在計(jì)數(shù)脈沖(下降沿)作用下實(shí)現(xiàn)二-五-十進(jìn)制加計(jì)數(shù)。74HC290、74HCT290的邏輯功能和引腳圖與74LS290完全相同。

由表可以看出,當(dāng)復(fù)位輸入R0(1)=R0(2)=1,且置4)?74LS190

本項(xiàng)目采用74LS190集成計(jì)數(shù)器芯片。74LS190是同步十進(jìn)制可逆計(jì)數(shù)器,它是靠加/減控制端來實(shí)現(xiàn)加計(jì)數(shù)和減計(jì)數(shù)的。其引腳排列如圖8-22(a)、(b)所示。4)?74LS190

本項(xiàng)目采用74LS190集成圖8-2274LS190集成芯片引腳圖及功能表圖8-2274LS190集成芯片引腳圖及功能表引腳說明:

CO/BO:進(jìn)位/借位輸出端;

CP:時(shí)鐘輸入端;

CT:計(jì)數(shù)控制端(低電平有效);

D0~D3:并行數(shù)據(jù)輸入端;

:異步并行置入控制端(低電平有效);

Q0~Q3:輸出端;

RC:行波時(shí)鐘輸出端(低電平有效);

U/D:加/減計(jì)數(shù)方式控制端。引腳說明:

CO/BO:進(jìn)位/借位輸出端;

CP:時(shí)鐘輸入74LS190的預(yù)置是異步的。當(dāng)置入控制端(LD)為低電平時(shí),不管時(shí)鐘端(CP)狀態(tài)如何,輸出端(Q0~Q3)均可預(yù)置成與數(shù)據(jù)輸入端(D0~D3)相一致的狀態(tài)。

74LS190的計(jì)數(shù)是同步的,靠CP同時(shí)加在四個(gè)觸發(fā)器上來實(shí)現(xiàn)。當(dāng)計(jì)數(shù)控制端(CT)為低電平時(shí),在CP上升沿作用下Q0~Q3同時(shí)變化,從而消除了異步計(jì)數(shù)器中出現(xiàn)的計(jì)數(shù)尖峰。當(dāng)計(jì)數(shù)方式控制(U/D)為低電平時(shí)進(jìn)行加計(jì)數(shù);當(dāng)計(jì)數(shù)方式控制(U/D)為高電平時(shí)進(jìn)行減計(jì)數(shù)。只有在CP為高電平時(shí),CT和U/D才可以跳變。74LS190的預(yù)置是異步的。當(dāng)置入控制端(LD)為低電74LS190具有超前進(jìn)位功能。當(dāng)計(jì)數(shù)上溢或下溢時(shí),進(jìn)位/借位輸出端(CO/BO)輸出一個(gè)寬度約等于CP脈沖周期的高電平脈沖;行波時(shí)鐘輸出端(RC)輸出一個(gè)寬度等于CP低電平部分的低電平脈沖。利用RC端可級(jí)聯(lián)成N位同步計(jì)數(shù)器。當(dāng)采用并行CP控制時(shí),則將RC接到后一級(jí)CT;當(dāng)采用并行CT控制時(shí),則將RC接到后一級(jí)CP。

在數(shù)字系統(tǒng)中工作的是二進(jìn)制的數(shù)字信號(hào),而人們習(xí)慣十進(jìn)制的數(shù)字或運(yùn)算結(jié)果,因此需要用數(shù)字顯示電路,顯示出便于人們觀測(cè)、查看的十進(jìn)制數(shù)字。74LS190具有超前進(jìn)位功能。當(dāng)計(jì)數(shù)上溢或下溢時(shí),進(jìn)位8.2.3譯碼器

譯碼是編碼的逆過程,它的功能是將具有特定含義的二進(jìn)制碼進(jìn)行辨別,并轉(zhuǎn)換成控制信號(hào),具有譯碼功能的邏輯電路稱為譯碼器。

8.2.3譯碼器

譯碼是編碼的逆過程,它的功能是將具譯碼器可分為兩種類型,一種是將一系列代碼轉(zhuǎn)換成與之相對(duì)應(yīng)的有效信號(hào)。這種譯碼器可稱為惟一地址譯碼器,它常用于計(jì)算機(jī)中對(duì)存儲(chǔ)單元地址的譯碼,即將每一個(gè)地址代碼轉(zhuǎn)換成一個(gè)有效信號(hào),從而選中對(duì)應(yīng)的單元。另一種是將一種代碼轉(zhuǎn)換成另一種代碼,所以也稱為代碼變換器。圖8-23表示二進(jìn)制譯碼器的一般原理圖,它具有n個(gè)輸入端,2n個(gè)輸出端和一個(gè)使能輸入端。在使能輸入端為有效電平時(shí),對(duì)應(yīng)每一組輸入代碼,只有其中一個(gè)輸出端為有效電平,其余輸出端則為非有效電平。譯碼器可分為兩種類型,一種是將一系列代碼轉(zhuǎn)換成與之相對(duì)應(yīng)圖8-23二進(jìn)制譯碼器一般原理圖圖8-23二進(jìn)制譯碼器一般原理圖下面首先分析由門電路組成的譯碼電路,以便熟悉譯碼器的工作原理和電路結(jié)構(gòu)。2輸入變量的二進(jìn)制譯碼器邏輯圖如圖8-24所示。由于2輸入變量A、B共有4種不同狀態(tài)組合,因而可譯出4個(gè)輸出信號(hào)Y0~Y3,故圖8-24為2線輸入,4線輸出譯碼器,簡稱2線-4線譯碼器。由圖可寫出各輸出端的邏輯表達(dá)式如下:下面首先分析由門電路組成的譯碼電路,以便熟悉譯碼器的工作圖8-242線-4線譯碼器邏輯圖圖8-242線-4線譯碼器邏輯圖根據(jù)上式可列出功能表,如表8-9所示。由表可知,對(duì)于正邏輯,當(dāng)EI為1時(shí),無論A、B為何種狀態(tài),輸出全為1,譯碼器處于非工作狀態(tài)。而當(dāng)EI為0時(shí),對(duì)應(yīng)于A、B的某種狀態(tài)組合,其中只有一個(gè)輸出量為0,其余各輸出量均為1。比如,AB=00時(shí),輸出Y0為0,Y1~Y3均為1。由此可見,譯碼器是通過輸出端的邏輯電平以識(shí)別不同的代碼。根據(jù)上式可列出功能表,如表8-9所示。由表可知,對(duì)于正邏表8-92線-4線譯碼器功能表

表8-92線-4線譯碼器功能表

現(xiàn)將常用的集成譯碼器電路介紹如下。

1.74LS138集成電路譯碼器

圖8-25(a)為常用的集成譯碼器74LS138的邏輯圖,其引腳如圖8-25(b)所示,它的功能如表8-10所示。由圖可知,該譯碼器有3個(gè)輸入A、B、C,它們共有8種狀態(tài)的組合,即可譯出8個(gè)輸出信號(hào)Y0~Y7,故該譯碼器稱為3線-8線譯碼器。該譯碼器的主要特點(diǎn)是,設(shè)置了G1、G2A和G2B3個(gè)使能輸入端。由功能表可知,對(duì)于正邏輯,當(dāng)G1為1,且G2A和G2B均為0時(shí),譯碼器處于工作狀態(tài)?,F(xiàn)將常用的集成譯碼器電路介紹如下。

1.74LS13由功能表可得:

其他各輸出端的邏輯表達(dá)式請(qǐng)讀者自行推導(dǎo)。不難證明,由功能表推導(dǎo)出的各輸出端的邏輯表達(dá)式與邏輯圖是一致的。由功能表可得:

其他各輸出端的邏輯表達(dá)式請(qǐng)圖8-2574LS138集成譯碼器的邏輯圖和引腳圖圖8-2574LS138集成譯碼器的邏輯圖和引腳圖表8-1074LS138集成譯碼器功能表

表8-1074LS138集成譯碼器功能表

2.二-十進(jìn)制譯碼器

對(duì)應(yīng)于0~9的十進(jìn)制數(shù)由4位二進(jìn)制數(shù)0000~1001表示。人們不習(xí)慣于直接識(shí)別二進(jìn)制數(shù),這個(gè)問題可采用二-十進(jìn)制譯碼器來解決。這種譯碼器應(yīng)有4個(gè)輸入端,10個(gè)輸出端。圖8-26(a)和8-26(b)是7442二-十進(jìn)制譯碼器的邏輯圖和引腳圖,它的功能表如表8-11所示,其輸出為低電平有效。2.二-十進(jìn)制譯碼器

對(duì)應(yīng)于0~9的十進(jìn)制數(shù)由4位二圖8-267442二-十進(jìn)制譯碼器的邏輯圖圖8-267442二-十進(jìn)制譯碼器的邏輯圖表8-117442二-十進(jìn)制譯碼器功能表

表8-117442二-十進(jìn)制譯碼器功能表

從邏輯圖和功能表都可以得出,當(dāng)A3A2A1A0=0000時(shí),輸出Y0=0,它對(duì)應(yīng)于十進(jìn)制數(shù)0,其余輸出依此類推。從邏輯圖和功能表都可以得出,當(dāng)A3A2

3.七段顯示譯碼器

在數(shù)字測(cè)量儀表和各種數(shù)字系統(tǒng)中,都需要將數(shù)字量直觀地顯示出來,一方面供人們直接讀取測(cè)量和運(yùn)算的結(jié)果;另一方面用于監(jiān)視數(shù)字系統(tǒng)的工作情況。因此,數(shù)字顯示電路是許多數(shù)字設(shè)備不可缺少的部分。數(shù)字顯示電路通常由譯碼器、驅(qū)動(dòng)器和顯示器等部分組成,如圖8-27所示。下面對(duì)顯示器和譯碼驅(qū)動(dòng)器分別進(jìn)行介紹。3.七段顯示譯碼器

在數(shù)字測(cè)量儀表和各種數(shù)字系統(tǒng)中,圖8-27數(shù)字顯示電路組成方框圖圖8-27數(shù)字顯示電路組成方框圖數(shù)碼顯示器是用來顯示數(shù)字、文字或符號(hào)的器件,現(xiàn)在已有多種不同類型的產(chǎn)品,廣泛應(yīng)用于各種數(shù)字設(shè)備中,目前數(shù)碼顯示器件正朝著小型、低功耗、平面化方向發(fā)展。

數(shù)碼的顯示方式一般有三種:第一種是字形重疊式,它是將不同字符的電極重疊起來,要顯示某字符,只須使相應(yīng)的電極發(fā)亮即可,如輝光放電管、邊光顯示管等。第二種是分段式,數(shù)碼是由分布在同一平面上若干段發(fā)光的筆劃組成的,如熒光數(shù)碼管等。第三種是點(diǎn)陣式,它由一些按一定規(guī)律排列的可發(fā)光的點(diǎn)陣所組成,利用光點(diǎn)的不同組合便可顯示不同的數(shù)碼,如場(chǎng)致發(fā)光記分牌。數(shù)碼顯示器是用來顯示數(shù)字、文字或符號(hào)的器件,現(xiàn)在已有多種數(shù)字顯示方式目前以分段式應(yīng)用最普遍,圖8-28表示七段式數(shù)字顯示器利用不同發(fā)光段組合方式,顯示0~15等阿拉伯?dāng)?shù)字。在實(shí)際應(yīng)用中,10~15是用2位數(shù)字顯示器進(jìn)行顯示的。數(shù)字顯示方式目前以分段式應(yīng)用最普遍,圖8-28表示七段式圖8-28七段數(shù)字顯示器發(fā)光段組合圖圖8-28七段數(shù)字顯示器發(fā)光段組合圖發(fā)光二極管數(shù)碼顯示器的內(nèi)部接法有兩種,如圖8-29所示。

圖8-29(a)為共陽接法,當(dāng)某段外接低電平時(shí),該段被點(diǎn)亮;

圖8-29(b)為共陰接法,當(dāng)某段外接高電平時(shí),該段被點(diǎn)亮。

其中,工作電壓VD=2?V,工作電流IF=10?mA。發(fā)光二極管數(shù)碼顯示器的內(nèi)部接法有兩種,如圖8-29所示。圖8-29半導(dǎo)體數(shù)碼顯示器的內(nèi)部接法圖8-29半導(dǎo)體數(shù)碼顯示器的內(nèi)部接法如前所述,分段式數(shù)碼管是利用不同發(fā)光段組合的方式顯示不同數(shù)碼的。因此,為了使數(shù)碼管能將數(shù)碼所代表的數(shù)顯示出來,必須將數(shù)碼經(jīng)譯碼器譯出,然后經(jīng)驅(qū)動(dòng)器點(diǎn)亮對(duì)應(yīng)的段。例如,對(duì)于8421碼的0011狀態(tài),對(duì)應(yīng)的十進(jìn)制數(shù)為3,則譯碼驅(qū)動(dòng)器應(yīng)使a、b、c、d、g各段點(diǎn)亮。即對(duì)應(yīng)于某一組數(shù)碼,譯碼器應(yīng)有確定的幾個(gè)輸出端有信號(hào)輸出,這是分段式數(shù)碼管電路的主要特點(diǎn)。如前所述,分段式數(shù)碼管是利用不同發(fā)光段組合的方式顯示不同

4.7448七段顯示譯碼器

7448七段顯示譯碼器輸出高電平有效,用以驅(qū)動(dòng)共陰極顯示器。該集成顯示譯碼器設(shè)有多個(gè)輔助控制端,以增強(qiáng)器件的功能。7448的功能表如表8-12所示,它有3個(gè)輔助控制端LT、RBI、BI/RBO,現(xiàn)簡要說明如下:4.7448七段顯示譯碼器

7448七段顯示譯碼器輸1)滅燈輸入BI/RBO

BI/RBO是特殊控制端,有時(shí)作為輸入,有時(shí)作為輸出。當(dāng)BI/RBO作輸入使用且BI=0時(shí),無論其他輸入端是什么電平,所有各段輸入a~g均為0,所以字形熄滅。

2)試燈輸入LT

當(dāng)LT=0時(shí),BI/RBO是輸出端,且RBO=1,此時(shí)無論其他輸入端是什么狀態(tài),所有各段輸出a~g均為1,顯示字形8。該輸入端常用于檢查7448本身及顯示器的好壞。1)滅燈輸入BI/RBO

BI/RBO是特殊控制端表8-127448功能表

表8-127448功能表

3)動(dòng)態(tài)滅零輸入RBI

當(dāng)LT=1,RBI=0且輸入代碼DCBA=0000時(shí),各段輸出a~g均為低電平,與BCD碼相應(yīng)的字形熄滅,故稱“滅零”。利用LT=1與RBI=0可以實(shí)現(xiàn)某一位的“消隱”,此時(shí)BI/RBO是輸出端,且RBO=0。3)動(dòng)態(tài)滅零輸入RBI

當(dāng)LT=1,RBI=0且輸4)動(dòng)態(tài)滅零輸出RBO

BI/RBO作為輸出使用時(shí),受控于LT和RBI。當(dāng)LT=1且RBI=0,輸入代碼DCBA=0000時(shí),RBO=0;若LT=0或者LT=1且RBI=1,則RBO=1。該端主要用于顯示多位數(shù)字時(shí),多個(gè)譯碼器之間的連接。

從功能表還可看出,對(duì)輸入代碼0000,譯碼條件是LT和RBI同時(shí)等于1,而對(duì)其他輸入代碼則僅要求LT=1,這時(shí)候,譯碼器各段a~g輸出的電平是由輸入BCD碼決定的,并且滿足顯示字形的要求。4)動(dòng)態(tài)滅零輸出RBO

BI/RBO作為輸出使用時(shí)下面舉一個(gè)利用7448實(shí)現(xiàn)多位數(shù)字譯碼顯示的例子,通過它了解各控制端的用法,特別是如何動(dòng)態(tài)滅零,實(shí)現(xiàn)無意義位的“消隱”。

該例如圖8-30所示。圖中7位顯示器由7個(gè)譯碼器7448驅(qū)動(dòng)。各片7448的LT均接高電平,由于第一片的RBI=0且DCBA=0000,所以第一片滿足滅零條件,無字形顯示,同時(shí)輸出RBO=0;第一片的RBO與第二片的RBI相連,使第二片也滿足滅零條件,無顯示并輸出RBO=0;同理,第三片的零也熄滅,無顯示。由于第四、五、六、七片譯碼器的RBI=1,所以它們都正常譯碼,按輸入BCD碼去點(diǎn)亮各段電極。下面舉一個(gè)利用7448實(shí)現(xiàn)多位數(shù)字譯碼顯示的例子,通過它圖8-30用7448實(shí)現(xiàn)多位數(shù)字譯碼顯示圖8-30用7448實(shí)現(xiàn)多位數(shù)字譯碼顯示如果圖8-30接法不變,但第一片7448的輸入代碼不是0000而是任何其他BCD碼,則該片將正常譯碼并驅(qū)動(dòng)顯示,同時(shí)使RBO=1。這樣,第二片、第三片就喪失了滅零條件,所以電路對(duì)最高位非零的數(shù)字仍正常顯示。

綜上所述,對(duì)譯碼器歸納為以下幾點(diǎn):

(1)譯碼是編碼的逆過程。譯碼器是將輸入的編碼信號(hào)翻譯成譯碼信號(hào)在相應(yīng)的輸出端輸出。如果圖8-30接法不變,但第一片7448的輸入代碼不是0(2)譯碼器的輸入端子數(shù)n(編碼信號(hào)位數(shù))與輸出端子數(shù)N(譯碼信號(hào)數(shù))之間應(yīng)滿足關(guān)系式2n≥N。對(duì)應(yīng)的有完全和不完全兩種譯碼方式。

(3)在使能輸入端為有效電平時(shí),對(duì)應(yīng)每一組輸入代碼只有其中一個(gè)輸出端為有效電平,其余輸出端為相反電平。

(4)正確使用譯碼器的使能輸入端,可以擴(kuò)展其邏輯功能,實(shí)現(xiàn)多種應(yīng)用。(2)譯碼器的輸入端子數(shù)n(編碼信號(hào)位數(shù))與輸出端子數(shù)8.3本?項(xiàng)?目?電?路

8.3.1本項(xiàng)目電路介紹

本項(xiàng)目電路如圖8-31所示。該繞線機(jī)計(jì)數(shù)器電路包括了霍爾元件檢測(cè)磁場(chǎng)強(qiáng)度電路、可預(yù)置的加減法計(jì)數(shù)器電路、譯碼與顯示電路、計(jì)數(shù)提示電路。8.3本?項(xiàng)?目?電?路

8.3.1本項(xiàng)采用開關(guān)型霍爾元件組成的檢測(cè)磁場(chǎng)強(qiáng)度(有否磁鐵靠近)電路。繞線機(jī)上的磁鐵隨著轉(zhuǎn)盤轉(zhuǎn)動(dòng),當(dāng)靠近霍爾元件時(shí),霍爾元件的輸出腳輸出低電平;當(dāng)繞線機(jī)上的磁鐵遠(yuǎn)離霍爾元件時(shí),也就是磁場(chǎng)強(qiáng)度小或撤銷磁場(chǎng)時(shí),霍爾元件的輸出腳輸出高電平,繞一圈則輸出一個(gè)脈沖,以此作為后級(jí)計(jì)數(shù)器電路的時(shí)鐘輸入信號(hào)。采用開關(guān)型霍爾元件組成的檢測(cè)磁場(chǎng)強(qiáng)度(有否磁鐵靠近)電路圖8-31本項(xiàng)目電路原理圖圖8-31本項(xiàng)目電路原理圖由十進(jìn)制同步可逆計(jì)數(shù)芯片74LS190組成的計(jì)數(shù)電路,對(duì)霍爾元件輸出的脈沖進(jìn)行計(jì)數(shù)。計(jì)數(shù)電路得到的十進(jìn)制數(shù)通過74LS48集成電路譯碼轉(zhuǎn)換成7段LED編碼,并驅(qū)動(dòng)7段LED顯示對(duì)應(yīng)的數(shù)字。由十進(jìn)制同步可逆計(jì)數(shù)芯片74LS190組成的計(jì)數(shù)電路,對(duì)74LS190芯片具有異步置數(shù)功能,所以在線

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論