數(shù)字電子技術(shù)基礎(chǔ)備課筆記_第1頁
數(shù)字電子技術(shù)基礎(chǔ)備課筆記_第2頁
數(shù)字電子技術(shù)基礎(chǔ)備課筆記_第3頁
數(shù)字電子技術(shù)基礎(chǔ)備課筆記_第4頁
數(shù)字電子技術(shù)基礎(chǔ)備課筆記_第5頁
已閱讀5頁,還剩47頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

..數(shù)字電子技術(shù)基礎(chǔ)復(fù)習(xí)使用教材:數(shù)字電子技術(shù)基礎(chǔ)〔第四版高等教育出版社總學(xué)時:68班級:14電子2班[1~2]課時:第一章:邏輯代數(shù)基礎(chǔ)本章的教學(xué)目的與要求:1、了解常用的數(shù)制及其轉(zhuǎn)換方法。2、理解常用碼制的編碼方法。3、理解三種最基本的邏輯關(guān)系。4、了解邏代的三條法則。5、掌握邏函的公式化簡法和卡諾圖化簡法。6、深入理解邏輯功能的邏輯函數(shù)表達式、真值表、邏輯圖、卡諾圖四種描述方法,并掌握它們間的轉(zhuǎn)換方法。本章的教學(xué)重點:1、邏函的兩種化簡方法。2、邏輯功能的四種描述方法和轉(zhuǎn)換方式。本闡的教學(xué)難點:邏代公式化簡法的技巧。1.1概述1.1.1數(shù)字量和模擬量模擬量:隨時間是連續(xù)變化的物理量。特點:具有連續(xù)性。表示模擬量的信號叫做模擬信號。工作在模擬信號下的電子電路稱為模擬電路。數(shù)字量:時間、幅值上不連續(xù)的物理量。特點:具有離散性。表示數(shù)字量的信號叫做數(shù)字信號。工作在數(shù)字信號下的電子電路稱為數(shù)字電路。1.1.2數(shù)制和碼制一、數(shù)制1、十進制<Decimal>①有十個數(shù)碼:0、1、┅┉9;②逢十進一〔基數(shù)為十;③可展開為以10為底的多項式。如:〔48.63=通式:2、二進制〔Binary>①有兩個數(shù)碼:0、1;②逢二一〔基數(shù)為2;③可展為以2為底的多項式。如:式中:2i――稱為位權(quán)。同理:用同樣方法可分析十六進制數(shù),此處不再說明。下面說明十進制與二進制間的對應(yīng)關(guān)系:十進制二進制十進制二進制012345670110111001011101118910111213141510001001101010111100110111101111二、數(shù)制轉(zhuǎn)換1、二十方法:按位權(quán)展開再求和即可。2、十二整數(shù)部分:除2取余法〔19D=〔10011B19191819844102210演算過程小數(shù)部分:乘2取整法例:〔0.625D=〔0.101B0.6250.625×21.25×20.5×21.03、二十六方法:從小數(shù)點開始左右四位一組,然后按二、十進制的對應(yīng)關(guān)系直接寫出即可。如:〔110110010.11011B=〔1B2.D8H二、碼制用不同的數(shù)碼表示不同事物的方法,就稱為編碼。為便于記憶和處理,在編碼時必須遵循一定的規(guī)則,這些規(guī)則就稱為碼制。例如,一位十進制數(shù)0~9十個數(shù)碼,用四位二進制數(shù)表示時,其代碼稱為二——十進制代碼,簡稱BCD代碼BCD代碼有多種不同的碼制:8421BCD碼、2421BCD碼、余3碼等,十進制8421碼2421碼〔A2421碼〔B5211碼余3碼余3循環(huán)碼0000000000000000000110010100010001000100010100011020010001000100010010101113001100110011010101100101401000100010001110111010050101010110111000100011006011001101100100110011101701110111110111001010111181000111011101101101111109100111111111111111001010權(quán)8421242124215211[3~4]課時:1.2邏輯代數(shù)中的三種基本運算▲邏輯代數(shù)<布爾代數(shù)>用來解決數(shù)字邏輯電路的分析與設(shè)計問題?!?、1的含義在邏輯代數(shù)及邏輯電路中,0和1已不再具有值的概念。僅是借來表示事物的兩種狀態(tài)或電路的兩種邏輯狀態(tài)而已。如:真-1合-1高-1取值;開關(guān);電平。假-0分-0低-0▲參與邏輯運算的變量叫邏輯變量,用字母A,B……表示。每個變量的取值非0即1。邏輯變量的運算結(jié)果用邏輯函數(shù)來表示,其取值也為0和1。一、與邏輯運算1、與邏輯定義某一事件能否發(fā)生,有若干個條件。當(dāng)所有條件都滿足時,事件才能發(fā)生。只要一個或一個以上的條件不滿足,事件就不發(fā)生,這種決定事件的因果關(guān)系"與邏輯關(guān)系"。2、與邏輯真值表3、與邏輯函數(shù)式4、與邏輯符號ABY00110110001Y=A?B&&ABY5、與邏輯運算0?0=00?1=01?0=01?1=1二、或邏輯運算1、或邏輯定義某一事件能否發(fā)生,有若干個條件。只要一個或一個以上的條件滿足,事件就能發(fā)生;只有當(dāng)所有條件都不滿足時,事件就不發(fā)生,這種決定事件的因果關(guān)系"或邏輯關(guān)系"。2、或邏輯真值表3、或邏輯函數(shù)式4、或邏輯符號ABY000110110111Y=A+B≥≥1ABY5、或邏輯運算0+0=0;0+1=1;1+0=1;1+1=1三、非運算1、非邏輯定義條件具備時,事件不能發(fā)生;條件不具備時事件一定發(fā)生。這種決定事件的因果關(guān)系稱為"非邏輯關(guān)系"。2、非邏輯真值表3、非邏輯函數(shù)式4、非邏輯符號AY0110Y=A1Y=A1AY0=11=050=11=0四、幾種最常見的復(fù)合邏輯運算1、與非2、或非ABY000110111110ABY000110111000Y=A+B&AY=A+B&ABYY=AB≥≥1ABY3、與或非ABCDY00000011110011111000&&≥1ABCDY1.3邏輯代數(shù)的基本公式和常用公式1.3.1基本公式一、變量與常量的運算A0=0;A+0=A;A1=A;A+1=1。二、交換律、結(jié)合律、分配律A+B=B+A;AB=BA?!睞+B+C=A+〔B+C;〔ABC=A〔BC。A〔B+C=AB+AC;A+BC=〔A+B〔A+C三、一些特殊定律重疊律:A+A=A;AA=A。反轉(zhuǎn)律:互補律:反演律:1.3.2常用公式吸收律:A+AB=AABAB歸納法A+AB歸納法0001101100010011演繹法演繹法證:左邊==右邊冗余律:下面證明兩個常用的等式:證:右邊===左邊=1――異或函數(shù)。=1⊙B――同或函數(shù)。===1或證:右邊=左邊。[5~6]課時:1.4、邏輯代數(shù)的基本定理1.4.1代入定理在邏輯代數(shù)中,如將等式兩邊相同變量都代之以另一邏函,則等式依然成立。如:則:1.4.2反演定理將邏函中的"+"變"*","*"變"+";"0"變"1","1"變"0";原變量變反變量,反變量變原變量,所得新式即為原函數(shù)的反函數(shù)。如:則:或1.4.3對偶定理將邏函中的"+"變"*","*"變"+";"0"變"1","1"變"0";變量不變,所得新式即為原函數(shù)的對偶式。如:則:1.5邏輯功能的描述方法邏輯函數(shù)表達式邏函是以表達式的形式反應(yīng)邏輯功能。1.5.2真值表上述邏函的真值表如右表所示。真值表是以表格的形式反應(yīng)邏輯功能。ABCY000001010011100101110111000001111.5.3邏輯圖以邏輯符號的形式反應(yīng)邏輯功能。與上述邏函對應(yīng)的邏輯電路如下邏輯功能還有其它描述方法。111&&&≥1YABC1.5.4各種邏輯功能描述方法間的轉(zhuǎn)換關(guān)系邏函真值表邏函真值表邏輯圖例:已知邏輯圖,求其真值表。&&&&&&ABY解:先由邏輯圖寫出邏函表達式,再將邏函表達式化為與或式并以此列出真值表。ABY0001101101101.6邏函的公式化簡法1.6.1化簡的意義1111&&≥1BACY――與或表達式――與非與非表達式――與或非表達式――或與表達式――或非或非表達式可見,同一邏函可以有多種表達方式,自然對應(yīng)有不同的實現(xiàn)電路。那么哪種實現(xiàn)電路的方案最簡單呢?因此,化簡就成為最重要、最有實際意義的問題了。1.6.2化簡的原則1、表達式中乘積項最少〔所用的門最少;2、乘積項中的因子最少〔門的輸入端數(shù)最少;3、化為要求的表達形式〔便于用不同的門來實現(xiàn)。[7~8]課時:1.6.3公式化簡法例1:例2:例3:1.7邏函的卡諾圖化簡法公式化簡法建立在基本公式和常用公式的基礎(chǔ)之上,化簡方便快捷,但是它依賴于人們對公式的熟練掌握程度、經(jīng)驗和技巧,有時化簡結(jié)果是否為最簡還心中無數(shù),而卡諾圖化簡法具有規(guī)律性,易于把握。1.7.1邏函的標(biāo)準(zhǔn)形式邏函有兩種標(biāo)準(zhǔn)表達形式,即最小項和最大項表達形式,這里主要介紹最小項表達形式。一、最小項定義:設(shè)某邏函有n個變量,m是n個變量的一個乘積項,若m中每個變量以原變量或反變量的形式出現(xiàn)一次且只出現(xiàn)一次,則m稱為這個邏函的一個最小項。是不是如:是不是ABCABC最小項編號000001010011100101110111m0m1m2m3m4m5m6m71、最小項性質(zhì)①、n個變量必有且僅有2n最小項約定:原變量用"1"表示;反變量用"0"表示。注:用編號表示最小項時,變量數(shù)不同,相同編號所對應(yīng)的最小項名也不同。如,m6:對三變量邏函為:對四變量邏函為:②、所有最小項之和恒等于1根據(jù)這一性質(zhì)知,邏函一般不會包含所有最小項。2、最小項的求法ABCYABCY00000101001110010111011100010111注:●邏函的最小項表達形式是唯一的?!裨谡嬷当碇?邏函所包含的最小項恰是邏函取值為"1"所對應(yīng)的項,如:二、最大項——自學(xué)1.7.2邏函的卡諾圖表示法一、邏輯相鄰項定義:在邏函的兩個最小項中,只有一個變量因互補而不同外,其余變量完全相同。如:。顯然,在真值表中,幾何相鄰的兩個最小項未必滿足邏輯相鄰。那么,能否將真值表中的最小項重新排列從而使得幾何相鄰必邏輯相鄰呢?答案是:能,那就是真值表!ABCABCABCABCABCABCABCABCABCAAm0m4m3m2m1m7m6BCBCBCBC0100011110m5ABC二變量:00101ABABCDABCD0001111000011110二、相鄰項的合并規(guī)則兩個相鄰項合并可消去一個變量,如:四個相鄰項合并可消去兩個變量,如:八個相鄰項合并可消去三個變量,如:同理:十六個相鄰項合并可湔去四個變量;以此類推。[9~10]課時:1.7.3邏函的卡諾圖化簡法化簡原則:●被圈最小項數(shù)應(yīng)等于2n個;卡諾圈應(yīng)為矩形且能大不?。蛔钚№椏杀恢貜?fù)圈但不能遺漏;●每圈至少應(yīng)包含有一個新有最小項。例1:Y=Σm〔0,1,3,7=Y(jié)00011110Y0001111001ABC1111Y0001111000011110Y0001111000011110ABCD11111或:11111111Y0001111000011110ABCD例3:11111111Y0001111000011110ABCD例4:Y=Σm<1,2,3,4,5,6,7,8,9,10,11,12,13,14>Y00011110Y0001111000011110ABCD100111111111111圈"0"法:依據(jù):∵Y+=1,即〔Y+包含所有最小項,∴未被Y包含的最小項必被所包含;又∵Y=1時,=0,∴=Σm〔0,15,此例說明:卡諾圖不僅可以化簡邏函,還可以轉(zhuǎn)換表達形式。1.8約束邏函的化簡法1.8.1約束項和約束條件在8421BCD碼中,m10~m15這六個最小項是不允許出現(xiàn)的,我們把它們稱之為約束項〔無關(guān)項、任意項。Σm〔10,11,12,13,14,15=0——稱為約束條件。1.8.2約束邏函的化簡例:設(shè)A、B、C、D為一位8421BCD碼,當(dāng)C、D兩變量取值相反時,函數(shù)值取值為1,否則取值為0,試寫出邏函的最簡表達式。ABCDY00000001001000110100010101100111100010010110011001解:先列出該邏輯問題的真值表:YY0001111000011110ABCD11111若不利用約束項,則化簡結(jié)果為:[11~12]課時:第二章:門電路本章的教學(xué)目的與要求:1、了解二、三極管的靜態(tài)開關(guān)特性;2、理解TTL門電路的工作原理和特性曲線;3、掌握TTL門電路的性能參數(shù);4、了解CMOS與非門的工作原理,理解其性能參數(shù);5、理解OC、TS門的作用和特點。本章的教學(xué)重點:TTL門電路的性能參數(shù)及使用方法。本章的教學(xué)難點:TTL門電路的原理及特性分析。2.1概述一、門電路用以實現(xiàn)基本邏輯運算和復(fù)合邏輯運算的單元電路統(tǒng)稱為門電路。二、正、負(fù)邏輯正邏輯正邏輯負(fù)邏輯01012.2二、三極管的開關(guān)特性2.2.1二極管的開關(guān)特性截止-+截止-+斷開+-導(dǎo)通閉合+U2-+U1-SRD2.2.2三極管的開關(guān)特性VOVO/V0t/msVi/V0t/ms截止區(qū)放大區(qū)飽和區(qū)VOViVCCRCRbVT相當(dāng)于截止區(qū):Ib=Ic=0,Vce=Vcc相當(dāng)于相當(dāng)于相當(dāng)于飽和區(qū):Ic=Vcc/<βRc>=Ics,Vcc=0V2.3最簡單的與、或、非門電路2.3.1二極管與門0.7V0.7V5VRABYD2D10V3V3.7VABY0V0V0V3V3V0V3V3V0V0V0V3VABY000110110001高電平—"1"約定:電平低電平—"0"Y=A·B—與邏輯功能。二極管或門YYABRD1D20V3V0V2.3VABY000110110111VVEE<-8V>R210kVCC<5V>AYR13.3kRC1kTβ=200V5V5V0VY=A+B—或邏輯功能。2.3.3三極管非門AY0110當(dāng)vi=0V時。所以VT截止,IC=0,VO=5V。當(dāng)Vi=5V時設(shè):T導(dǎo)通,則:VBE=0.7V,所以,,。又因為IB>IBS,所以T飽和導(dǎo)通,vo=0V。[13~14]課時:2.4TTL門電路R2R21.6kR14kR31kR4130D1T1D2T2T3T4AVCC〔5VY一、電路結(jié)構(gòu)及工作原理0.2V0.2V3.4V1、輸入A=0.2V〔VILT1導(dǎo)通,VB1=0.9V,T2、T4截止,IB1=<VCC-VB1>/R1=1.025mA。T1深度飽和,Y〔輸出=VCC-VR2-VBE3-VD2=3.4V=VOH。2、輸入A=3.4V〔VOHT1集電結(jié)導(dǎo)通、T2、T4飽和,VB1=2.1V,T1發(fā)射結(jié)反偏,VE2=VB1-VBC1-VBES2=2.1V-0.7V-0.7V=0.7V,VC2=VE3+VCES2=0.7V+0.2V=0.9V,所以T3、D2截止,VO=0.2V。0VO/VV0VO/VVI/V3.4VABCDVTH115VVV+-VTH—稱為閾電壓或門檻電壓,約為1.4V。VLVL〔maxVL〔minVLN〔0.2VVH〔minVH〔maxVHN〔3V通常,很難保證輸入、輸出電平在正常值上始終不變,VOH〔min=2.4V;VOL〔max=0.4V。然后根據(jù)電壓傳輸特性曲線由:VOH〔minVIL〔max;VOL〔maxVIH〔min。一般大約:VIL〔max=0.8V;0VO/V0VO/VVI/V3.4VABCDVOH〔minVIH〔minVIL〔maxVOL〔maxVOL〔maxVOH〔min11VOVI1定義:VNL=VIL〔max-VOL〔max=0.8V-0.4V=0.4V;VNH=VOH〔min-VIH〔min=2.4V-2.0V=0.4V。噪聲容限反應(yīng)了門電路的抗干擾能力。TTL反相器輸入、輸出特性vI/ViI/mvI/ViI/mA0IISIIH〔<0.04mA1.4V5VR1T1VBE2VBE41+-vIiI5VIIS—稱為輸入短路電流;IIH—稱為高電平輸入電流。iLvO5ViLvO5V1RL高電平輸出特性5VR5VR2T3vOHD2R4RLiLiL/mAvOH/V05mA74系列門電路輸出高電平時的iL不能超過0.4mA。5VR5VRLT4vOLR3iLiiL/mAvOH/V00.2V16mA[15~16]課時:11111iLVOHIIHVOLiLIIS扇出系數(shù)NO輸出高電平時的NO:NOH=IOH<max>/IIH=0.4/0.04=10。輸出低電平時的NOL:NOL=IOL<max>/IIS=16/1=16。輸入端負(fù)載特性vI=f〔RI5VR5VR1T1VBE2VBE4RI1VvIRI5VRRL/kΩvI/V01.4vI=<VCC-VBE1>RI/<RI+R1>=<5-0.7>RI/<RI+4>=4.3RI/<RI+4>2.4.3TTL反相器動態(tài)特性――自學(xué)其它類型的TTL電路一、與非門、或非門、與或非門等&≥1&≥1≥1&二、OC<OpenCollectorGate>門和TS<Three-StateOutput>門VOLVOLVOHR4D2T3T45V過電流R4D2T3T45VOC門RR2R1R3T2T4BVCCYA&&&&&&&&1&m個門n個輸入端RLVCC線與VOHVOHVOHIOHILMIOHIIHILIILVOLRL――稱上拉電阻。式中:IOH――輸出三極管截止時的漏電流;ILM――輸出三極管允許的最大電流;m’――負(fù)載門的個數(shù),若負(fù)載門輸入端為或運算,則m’應(yīng)為輸入端數(shù)。2、TS門當(dāng)EN=1時:當(dāng)EN=0時:T3、T4均截止,輸出呈高阻態(tài)<禁態(tài)>。RR2R1R3D1T1D2T2R4T3T4BVCCENAP11Y高電平有效:&&ENBAY低電平有效:&&ENBAY雖然OC門和TS門都能實現(xiàn)線與,但OC門的優(yōu)勢在于通過外接不同的電源電壓可獲得不同的輸出高電平;而TS門的優(yōu)勢在于可方便地構(gòu)成總線結(jié)構(gòu)。如:單總線:雙總線:ENENENEN&AY&B&Z&ENENZEN&&&&BYA以下電路僅作扼要介紹。2.4.5改進型TTL電路74H系列、74S系列、74LS系列等。2.5其它類型的雙極型數(shù)字集成電路ECL電路、I2L電路。[17~18]課時:2.6CMOS門電路2.6.1CMOS反相器1、電路結(jié)構(gòu)及工作原理設(shè):VDD>VTH1+|VTH2|,且VIL=0V,VIH=VDD。則:輸入與輸出間為非邏輯關(guān)系。VVOVIVDDT1T2VDDVDDVDD/2iDVIVTH1VTH2000VDDVDDVDD/2VDD/2VIVODCBA2.6.2CMOS反相器的輸入、輸出特性VOVOVIVDDT2T1D2DIRSVIiI0VDD+0.7V-0.7VVVDDT2RLiD2iOLVIH=VDDVOLVVOLiOL0VDD=5V10V15V2.6.3CMOS與非門BBAYVDDT4T3T2T12.6.4CMOS傳輸門和雙向開關(guān)CCCCVDDO/II/OT2T1VIt010V3V7VT1導(dǎo)通T2導(dǎo)通設(shè):傳輸信號電壓為10V,C=10V,C=0V,VTH1=|VTH2|=3V。TGTGC1O/II/OSWSWCI/OO/I[19~20]課時:第三章:組合邏輯電路本章的教學(xué)目的與要求:1、理解編碼器、譯碼器、數(shù)據(jù)選擇器、加法器等常用組合邏輯電路的工作原理,掌握它們的使用方法;2、掌握組合邏輯電路的分析方法,理解組合邏輯電路的設(shè)計方法;3、了解常用顯示器的工作原理;4、會用中規(guī)模集成電路實現(xiàn)邏函;5、了解組合邏輯電路中的競爭冒險現(xiàn)象。本章的教學(xué)重點:1、掌握組合邏輯電路的分析方法;2、會用中規(guī)模集成電路實現(xiàn)邏函。本章的教學(xué)難點:集成電路各控制端的作用及使用方法。3.1概述組合邏輯電路數(shù)字電路時序邏輯電路組合邏輯電路的特點:功能特點:任意時刻的輸出信號只與此時刻的輸入信號有關(guān),而與信號作用前電路的輸出狀態(tài)無關(guān)。電路特點:不包含有記憶功能的單元電路,也沒有反饋電路。組合邏輯電路的分析方法和設(shè)計方法3.2.1組合邏輯電路的分析方法已知邏輯電路分析邏輯功能分析步驟:?由邏輯電路寫出邏函表達式;?化簡邏函并變換為與或式;?列真值表,判斷其功能。例:試分析圖示電路的邏輯功能。解:&&≥1&CABCYABCY00000101001110010111011110000001功能:?檢測三位二進制碼是否相同;?檢測三臺設(shè)備的工作狀態(tài)是否相同;?檢測三個輸入信號是否相同。3.2.2組合邏輯電路的設(shè)計方法已知邏輯功能設(shè)計實現(xiàn)電路設(shè)計步驟:?分析邏輯功能確定輸入變量、輸出函數(shù);?列真值表;?寫出邏函表達式并化簡為適當(dāng)?shù)男问剑?畫出邏輯圖并選擇適當(dāng)?shù)钠骷崿F(xiàn)邏函。例:電路設(shè)計一三人表決電路。=1,同意;解:設(shè):分別用A、B、C代表三的意見,取值Y代表表決結(jié)果,=0,不同意。ABCY000001010011100101110111000101111,通過;Y=0,未通過。&&&&&CBAY3.3幾種常用的組合邏輯電路3.3.1編碼器編碼:用文字、符號、數(shù)字表示特定對象的過程。如號碼、運動員編號、姓名等均屬編碼。特指:把輸入的每一個高低電平信號編成一個對應(yīng)的二進制代碼的電路。普通編碼器3位二進制編碼器<8線—3線編碼器>:33位二進制編碼器Y2Y1Y0I7I1I0000000001111100000000100000000000001Y0Y2Y3I0I1I2I3I4I5I6I7?任一時刻僅允許有一個輸入端為高電平<有效>—約束。由真值表寫出邏函表達式并利用約束項化簡可得:≥1≥1≥1≥1I7I6I5I4Y2I3I1I2Y1Y0[21~22]課時:二、優(yōu)先編碼器特點:允許多個輸入信號同時有效,但只對優(yōu)先權(quán)最高的一個輸入信號進行編碼。?8線—3線編碼器74LS148:電路見P141:F3.3.3。輸入:,低電平有效;輸出:,低電平有效。由電路易得:0,編碼器工作;—稱為選通輸入端,=;低電平有效。編碼器不工作。0,表示編碼器工作且無信號輸入;—稱為選通輸出端,低電平有效:=編碼器工作且有輸入信號?!Q為擴展輸出端,低電平有效。=0,表示,編碼器工作且有輸入信號。邏輯符號:YY2Y1Y0YSYEX74LS148SI0I1I2I3I4I5I6I7用二片74LS148擴展為16線—4線編碼器:Y2Y2Y1Y0YSYEX74LS148SI0I1I2I3I4I5I6I7Y2Y1Y0YSYEX74LS148SI0I1I2I3I4I5I6I7A15A14Z1A8A3Z2Z3&&Z0A12A13A9A10A11A7A6A5A4A2A1A0&&?10線—4線<8421BCD碼>編碼器74LS147電路見P144F3.3.5:輸入:,代表0~9十個數(shù)碼;輸出:,代表一位8421BCD碼。集成3線—8線譯碼器74LS138,電路見P146、F3.3.8。由電路易得:?!Q為譯碼控制端<使能端>。S=0,不工作;S=1,工作。S1A2A1A0011110000000011111111111111111011111110111111111110AA2A1A074138A2A1A2A1A0A2A1A05VD3D2D1D07413874138BCD碼<4線—10線>譯碼器8421BCD碼譯碼器74LS42:A3A2A1A0:輸入,表示8421BCD碼;:代表0~9十個數(shù)碼。AA3A2A1A07442[23~24]課時:顯示譯碼發(fā)光二極管LED;常見的顯示器babacdefgD.P七段字符顯示器<數(shù)碼管>ababgD.PabgD.PBCD—七段顯示譯碼器據(jù)8421BCD碼和數(shù)碼管工作原理可列出真值表:A3A2A1A0YaYbYcYdYeYfYg0000000100100011100111111100110000110110111110011110011由真值表可求出各輸出端邏函表達式,如:YYa0001111000011110A3A2A1A001110111110011000010011000100110同理可得:,,。據(jù)此,可畫出邏輯電路圖。?集成BCD碼—七段顯示譯碼器7448:74487448A3A2A1A0電路由兩部分組成:譯碼部分;控制部分。?燈測試輸入信號:輸入,用以檢查數(shù)碼管的好壞。=0,七段全亮;=1,電路正常譯碼。?滅零輸入信號:輸入,當(dāng)=0時,若輸入A3A2A1A0=0000,則七段全滅,不顯示;若A3A2A1A0≠0000,則照常顯示。?滅零輸出信號:輸出,當(dāng)芯片本身處于滅零狀態(tài)<即=0且A3A2A1A0=0000>時,=0,否則=1。利用、信號,在多位顯示系統(tǒng)中可以熄滅多余的零,如:003.8010,RBIRBORBIRBORBORBIRBIRBORBORBIRBORBIRBORBIRBIRBORBIRBORBORBIRBIRBORBORBIRBORBIRBORBI5V3.3.3數(shù)據(jù)選擇器A1A0Y00011011D0D1D2D3D1D1D0D2D3YSA1A0二、集成數(shù)據(jù)選擇器▲雙四選一數(shù)據(jù)選擇器74LS153:兩個數(shù)據(jù)選擇器公用地址輸入端和電源。Y1Y2A174LS153A0D10D11D12D13S1D20D21D22D23S2&&1&&&1≥1A1A0D1D0D2D3Y[25~26]課時:DISYDISYA2INHCC4512A1D0D1D2D3D4D5D6D7A0功能表為:功能011正常工作Y=0〔不工作輸出高阻態(tài)3.3.4加法器1101+1011101+10111110010此例說明:只有最低位為兩個數(shù)碼相加,其余各位都有可能是三個數(shù)碼。加得的結(jié)果必須用二位數(shù)來表示,一位反應(yīng)本位和,一位反應(yīng)進位。一、1位加法器?半加器ABSCO0001101101100001A∑A∑SBCO=1&ASCOB?全加器ABCISCO0000010100111001011101110010100110010111SS∑COABCI二、多位加法器串行進位:CCO∑SABCICO∑SABCICO∑SABCIS1S0C0S2A1A0A2B1B0B23.3.5數(shù)值比較器一、1位數(shù)值比較器ABY<A<B>Y<A=B>Y<A>B>00011011010100001010≥≥1&1&1Y<A<B>Y<A>B>Y<A=B>AB二、多位數(shù)值比較器A=A3A=A3A2A1A0B=B3B2B1B0①②③④A3A3B3A3B3A3=B3A3=B3A3=B3A3=B3A3=B3A3=B3A3=B3A3B3A2B2A2B2A2=B2A2=B2A2=B2A2=B2A2=B2A2B2A1B1A1B1A1=B1A1=B1A1=B1A1B1100001100001100001100001010A0B0A0B0A0=B0Y<A<B>Y<A=B>Y<A>B>A0B0[27~28]課時:3.3.6用集成器件設(shè)計組合邏輯電路一、用譯碼器設(shè)計例1:用74LS138實現(xiàn)下列一組邏函解:先將邏函表達為最小項形式:Z1=m4+m6+m3+m5Z2=m3+m7+m1Z3=m2+m3+m5由74LS138知,在譯碼狀態(tài)下有:,,…。Y0Y0Y1Y2Y3Y4Y5Y6Y774LS138S1S2S3A2A1A0&&&Z2Z1Z3ABC10,,。二、用數(shù)據(jù)選擇器設(shè)計一般說來,4選1數(shù)選器可實現(xiàn)3變量以下的邏函,8選1數(shù)選器可實現(xiàn)4變量以下邏函,在允許添加門電路時,可實現(xiàn)任一邏函。例2:用4選1實現(xiàn)解:四選一:若令A(yù)1=A,A0=B,Y=Z,則通過比較對應(yīng)項可得:D0=C,D1=1,D2=,D3=0。ABABZA1YA0D0D1D2D3SCC100例3:用8選1實現(xiàn)邏函解:若令A(yù)2=A,A1=B,A0=C,Y=Z,則:DISDISYA2INHCC4512A1D0D1D2D3D4D5D6D7A0ABC00ZD&01E3.4組合邏輯電路中的競爭—冒險現(xiàn)象1&1&&&BACYG1G2G3G4Y1Y2BB、C1AAY1Y2tpd2tpd1Y原因分析:當(dāng)B=C=1時,Y=應(yīng)恒等于1但由于存在延遲時間tpd,使得G2、G3的輸入信號不同時改變,導(dǎo)致G4輸入信號也不同時改變,遭成G4的輸出產(chǎn)生不應(yīng)出現(xiàn)的負(fù)脈沖,該負(fù)脈沖對后續(xù)電路將產(chǎn)生造成干擾。稱:—0型冒險。1B1BACYG1G2G3G4Y1Y2≥1≥1≥1BB、C0AAY1Y2tpd2tpd1Y當(dāng)B=C=0時,Y=應(yīng)恒等于0,但考慮tpd后,輸出端出現(xiàn)了正的干擾脈沖。稱:—1型冒險。?判斷方法:當(dāng)其它變量取常值時,若邏函能化為、形式,則存在競爭冒險現(xiàn)象。?消除方法:?在電路輸出端接入濾波電容。?在電路輸入端加選通脈沖。?在邏函中增加冗余項。[29~30]課時:第四章:觸發(fā)器本章的教學(xué)目的要求:1、理解基本RS、同步RS、主從、維持阻塞觸發(fā)器的工作原理及動作特點;2、掌握RS、JK、D、T、T,觸發(fā)器的邏輯功能;3、掌握觸發(fā)器邏輯功能的描述方法及其內(nèi)在聯(lián)系;4、了解觸發(fā)器的結(jié)構(gòu)與功能之間的關(guān)系。本章的教學(xué)重點:1、觸發(fā)器的邏輯功能;2、觸發(fā)器邏輯功能的描述方法。本章的教學(xué)重點:主從型、維持阻塞型觸發(fā)器的工作原理。4.1概述具有記憶功能的邏輯單元稱為觸發(fā)器。觸發(fā)器是構(gòu)成時序電路的基本單元。特點:?具有兩個穩(wěn)定的狀態(tài)"0"和"1";?根據(jù)需要可以置"0"、置"1"。分類:RS基本RSJK同步RS功能D;結(jié)構(gòu)主從型。T邊沿型T’&&&&RDSDQQ基本RS觸發(fā)器一、電路結(jié)構(gòu)?兩與非門交叉耦合而成。?兩輸入端RD、SD,兩互補輸出端Q、。?用Q端的狀態(tài)表示觸發(fā)器的狀態(tài)。QnQn+1功能110101維持01010置010011置100011*約束二、工作原理?真值表—直接復(fù)位端。—直接置位端。≥1≥1≥1QQSDRD或非門QQSQQSDRD狀態(tài)不定?動作特點輸入信號時刻決定著輸出狀態(tài)。?邏輯符號QQQRDSDQQRDSD與非門或非門三、優(yōu)缺點?結(jié)構(gòu)簡單。?輸入信號存在約束。&RS&RSQQ&&&CPRDSD同步RS觸發(fā)器一、電路結(jié)構(gòu)由基本RS觸發(fā)器和導(dǎo)引門組成。二、工作原理CPRDSDQnQn+1功能000101Qn+1=Qn01011Qn+1=110010Qn+1=011011*約束?動作特點在CP=0時,不接收輸入信號,在CP=1時才輸入信號決定觸發(fā)器的翻轉(zhuǎn)方向〔狀態(tài),時鐘脈沖決定觸發(fā)器的翻轉(zhuǎn)時刻,這是所有具有CP的觸發(fā)器的共同特點。?時序圖RCPRCPSQ干擾錯誤QQQQRScp∧三、缺點?輸入仍有約束?抗干擾能力差四、觸發(fā)器邏輯功能的描述方法?真值表?特性方程?時序圖?狀態(tài)轉(zhuǎn)換圖QQn+10001111001RSQn111001R=S=0R=0,S=1R=1,S=0R=0S=[31~32]課時:主從型觸發(fā)器一、主從RS觸發(fā)器1CP1CP&&&&RS&&&&QQ由兩個同步RS觸發(fā)器串接和一個電子開關(guān)組成。2、工作原理cpcpRSQnQn+100001101011100011101*1?動作特點輸入信號分兩步走,在CP=1時將輸入信號接收到主觸發(fā)器中,在CP=0時再將輸入信號存入到從觸發(fā)器內(nèi)。?時序圖CPCPRSQ’Q干擾,波形會怎樣?1R1R1SC1QQRSCP∧3、優(yōu)缺點?輸入信號約束。?易受干擾。二、主從JK觸發(fā)器1、電路結(jié)構(gòu)在主從RS觸發(fā)器的基礎(chǔ)上增加兩根反饋線就構(gòu)成了主從JK觸發(fā)器。cpJcpJKQnQn+10000110100110011110101功能Qn+1=QnQn+1=1Qn+1=0Qn+1=Qn1CP&&&&KJ&&&&QQ因為與主從RS基本相同,所以僅分析J=K=1,Q=0這一種情況。J≠KQn+1=J規(guī)律J=K=0維持J=KJ=K=1計數(shù)?特性方程?時序圖QQKCPJ對輸出狀態(tài)有無影響??動作特點在CP=1期間,要求輸入信號應(yīng)穩(wěn)定不變,否則有可能導(dǎo)致觸發(fā)器誤動作。?邏輯符號QQRdK1K2K3CP1JC11K&&J1J2J3Sd∧1J1KC1QQJKCPRdSd∧多輸入:3、優(yōu)缺點?功能最強。?易受干擾。[33~34]課時:邊沿型觸發(fā)器COMS傳輸門邊沿型觸發(fā)器TG11TG11TG3TG2TG4111DCPCPCPCPCPCPCPCPQQCPCPDQn+1Qn001101010011?動作特點僅在CP由0→1的瞬間接收輸入信號,其它時間輸入信號的變化對觸發(fā)器狀態(tài)無影響。?優(yōu)缺點?抗干擾能力強,可靠性高。?功能簡單。&&&&&&&&4321DCPQQ1、電路結(jié)構(gòu)由基本RS觸發(fā)器和維持阻塞電路組成。①—置"0"維持線;②—置"1"阻塞線③—置"0"阻塞線;④—置"1"維持線2、工作原理CPDCPDQn+1Qn000000111111?特性方程QCPDQCPD誤動作否??動作特點在CP由0→1時,觸發(fā)器按輸入信號改變狀態(tài),在CP=1期間,即使輸入信號改變,由于維持阻塞的作用,觸發(fā)器的狀態(tài)也不會改變,從而有效地提高了工作的可靠性。QQDQQDcp∧T及T‘觸發(fā)器T:T=0時,維持;T=1時,計數(shù)。T’:僅有計數(shù)功能。4.3觸發(fā)器的電路結(jié)構(gòu)和邏輯功能間的關(guān)系觸發(fā)器的邏輯功能和觸發(fā)器的電路結(jié)構(gòu)之間沒有必然的聯(lián)系,同一種邏輯功能的觸發(fā)器可以由不同的電路結(jié)構(gòu)類型,同一種電路結(jié)構(gòu)的觸發(fā)器可以做成不同的邏輯功能。例1:畫出圖P4.2由或非門組成的基本RS觸發(fā)器輸出端Q、Q的電壓波形,輸入端SD、RD的電壓波形如圖中所示。例2:若主從結(jié)構(gòu)JK觸發(fā)器CP、、J、K端的電壓波形如圖P4.10所示,試畫出Q、端對應(yīng)的電壓波形。[35~36]課時:時序邏輯電路本章的教學(xué)的目的與要求:1、理解寄存器、計數(shù)器的工作原理和應(yīng)用;2、掌握集成時序電路的使用方法,并學(xué)會用集成計數(shù)器設(shè)計N進制計數(shù)器;3、掌握時序邏輯電路的一般分析方法;4、理解時序邏輯電路邏輯功能的描述方法;5、了解時序邏輯電路的設(shè)計方法;6、了解時時序電路的特點。本章的教學(xué)重點:1、時序電路的一般分析方法;2、集成時序電路的使用方法及應(yīng)用。本章的教學(xué)難點:時序電路的設(shè)計。5.1概述時序邏輯電路的特點功能特點任一時刻的輸出信號不僅取決于此時刻的輸入信號,而且取決于上一個時刻的輸出狀態(tài)。2、電路特點包含組合邏輯電路、存儲電路及反饋電路。反饋電路將存儲電路的輸出狀態(tài)反饋到組合邏輯電路的輸入端,與輸入信號一起共同決定電路的輸出。5.2時序邏輯電路的分析方法5.2.1同步時序電路的分析方法步驟:1.由邏輯電路寫出各觸發(fā)器的驅(qū)動方程;2.由驅(qū)動方程和特性方程求次態(tài)方程<狀態(tài)方程>;3.由電路寫輸出方程;4.由次態(tài)方程、輸出方程畫出狀態(tài)轉(zhuǎn)換表或狀態(tài)轉(zhuǎn)換圖;5.判斷邏輯功能。Q2Q2Q1Q0Y1JQ1KQ>C11JQ1KQ>C11JQ1KQ>C1&&1&CP解:分析圖示電路的邏輯功能。K0=1K0=1∴次態(tài)方程為:輸出方程:。狀態(tài)轉(zhuǎn)換圖:Q2Q2Q1Q0Y000000100100011010001010111111015.2.2時序電路邏輯功能的描述一、狀態(tài)轉(zhuǎn)換圖據(jù)次態(tài)方程和輸出方程由電路原態(tài)求出電路次態(tài)。cpQcpQ2Q1Q0三、狀態(tài)轉(zhuǎn)換表5.2.3異步時序電路的分析方法本內(nèi)容歸放到異步計數(shù)器一節(jié)中介紹5.3若干常用的時序邏輯電路5.3.1寄存器數(shù)碼寄存器;移位寄存器一、數(shù)碼寄存器QQ1DQQ1Dc1QQ1Dc1QQ1Dc1QQ1Dc11cpRDQ3Q2Q1Q0D3D2D1D0并行輸出并行輸入<清0端><寄存指令>∧∧∧∧LDALDALDB00010011保持功能接收輸入數(shù)據(jù)ENAENB功能0011允許輸出禁止輸出<高阻態(tài)>D3~D0:并行數(shù)據(jù)輸入端;Q3~Q0:并行三態(tài)輸出端;:直接置位端;、:輸出控制端;LDA、LDB:數(shù)據(jù)輸入控制端。[37~38]課時:二、移位寄存器?右移移位寄存器QQQ1Dc1QQ1Dc1QQ1Dc1QQ1Dc1cpQ3Q2Q1Q0DiR串出<右移串入><移位指令>∧∧∧∧?左移移位寄存器QQQ1Dc1QQ1Dc1QQ1Dc1QQ1Dc1cpQ3Q2Q1Q0DIL串出<右移串入><移位指令>∧∧∧∧QQQQ1DC1QQ1DC1QQ1DC1右左∧∧∧74LS194A:S1、S0—方式控制:SS1S000011011功能保持右移左移并行輸入5.3.2計數(shù)器計數(shù)器就是每輸入一個脈沖電路的狀態(tài)改變一次,因此計數(shù)器不但可以對輸入脈沖進行計數(shù),還可以用于分頻、定時、產(chǎn)生節(jié)拍脈沖等待。同步加法二進制工作方式;計數(shù)方式;計數(shù)體制十進制。異步減法n進制一、同步計數(shù)器1、同步二進制計數(shù)器1JQ1K1JQ1KQ>C11JQ1KQ>C11JQ1KQ>C1&&1JQ1KQ>C1&&&CPQ3Q2Q1Q0C驅(qū)動方程:狀態(tài)方程:輸出方程:狀態(tài)轉(zhuǎn)換圖:0000000000000100010000110010001000010010001110101001100101001011011000110101110011111時序圖:CPCPQ2Q1Q0Q3f1/2f1/16fC[39~40]課時:?4位同步二進制加法計數(shù)器74161:—異步清零端。=0,清零,不計數(shù);=1,正常計數(shù)。Q3Q2Q1Q0CRDLD74161EPD3D2D1D0ETCP∧D3~D0:預(yù)置數(shù)輸入端;000000011111…—預(yù)置數(shù)控制端<同步方式>。=0,接收預(yù)置數(shù),即Q3~Q0=D3~D0;=1,不接收預(yù)置數(shù),計數(shù)器正常計數(shù)。000000011111…利用這一功能,可使計數(shù)器的初態(tài)設(shè)定為任意值,如,計數(shù)器復(fù)位后狀態(tài)轉(zhuǎn)換圖為:若要求狀態(tài)轉(zhuǎn)換圖為:011001100111…1111則可讓D3~D0=0110,并令=0,在下一個CP脈沖作用下,0110被裝入計數(shù)器,然后再讓=1,于是計數(shù)器則按要求的狀態(tài)進行。EPET01EPET01011控制功能保持保持并使C=0計數(shù)?減法1JQ1JQ1KQ>C11JQ1KQ>C11JQ1KQ>C1&&1JQ1KQ>C1&&&CPQ3Q2Q1Q0B00000001000000011111100…Q3Q3Q2Q1Q0C/BSLD74191U/DD3D2D1D0∧CP1CP0?單時鐘十六進制加/減計數(shù)器74LS191::使能端:加減控制端,=0,加法;=1,減法。Q3Q2Q1Q0COBOLDQ3Q2Q1Q0COBOLD74193RDD3D2D1D0∧CPUCPD∧注:異步預(yù)置數(shù)方式。?雙時鐘同步十六進制可逆計數(shù)器74LS193:CPU:加計數(shù)脈沖輸入端;CPD:減計數(shù)脈沖輸入端。異步方式接收預(yù)置數(shù)。2、同步十進制計數(shù)器?加法QQ1JCP1K∧QQ1JCP1K∧QQ1JCP1K∧QQ1JCP1K∧&≥1&&&Q2Q1Q0Q3CCP驅(qū)動方程:次態(tài)方程:輸出方程:狀態(tài)轉(zhuǎn)換圖:000000000000100010000110010000110001110100001001111100111111101111000010101011110100[41~42]課時:?同步十進制加法計數(shù)器74LS160:具有異步清零、同步預(yù)置數(shù)、保持等功能。Q3Q2Q1Q0CRDLD74160EPD3D2D1D0ETCP∧?減法QQ1JCP1K∧QQ1JCP1K∧QQ1JCP1K∧QQ1JCP1K∧&&&Q2Q1Q0Q3BCP&&驅(qū)動方程:次態(tài)方程:輸出方程:000010000110010100000111001100010100100000110001100010000010111101110011010110001011010100Q3QQ3Q2Q1Q0C/BSLD74190U/DD3D2D1D0∧CP1CP0具有加、減計數(shù)、保持、同步預(yù)置數(shù)等功能。與二進制可逆計數(shù)器一樣,十進制可逆計數(shù)器也有雙時鐘的,如:74LS192、CC40192等。二、異步計數(shù)器1、異步二進制計數(shù)器1JQ1JQ1KQ>C11JQ1KQ>C11JQ1KQ>C1CPQ0Q2Q1減通道0000010000010100111001011101112、異步十進制計數(shù)器1JQ1JQ1KQ>C11JQ1KQ>C11JQ1KQ>C1J1JQ1KQ>C1&CPQ2Q0Q1Q3驅(qū)動方程:狀態(tài)方程:時鐘方程:狀態(tài)轉(zhuǎn)換圖:00000000000100100011010001010110011110001001101010111100110111101111[43~44]課時:3、二—五—十進制異步計數(shù)器74LS290FF0:構(gòu)成一位二進制計數(shù)器,計數(shù)脈沖為CP0;FF3~FF1:構(gòu)成一位五進制計數(shù)器,計數(shù)脈沖為CP1。000001010000001010011100將CP1接Q0,在CP0端加計數(shù)脈沖就構(gòu)成了異步十進制計數(shù)器。將CP1接Q0,在CP0端加計數(shù)脈沖就構(gòu)成了異步十進制計數(shù)器。S91、S92—為異步置9端;R01、R02—為異步置0端。三、任意進制計數(shù)器1、大模構(gòu)建小模?反饋置"0"法:適用于具有置零功能的計數(shù)器。000000010000000100100011010101000110011110001001取反饋信號Q3Q2Q1Q0CRDLD74160EPD3D2D1D0ETCP∧&1存在的問題:?0110狀態(tài)雖很短暫,但對后續(xù)電路仍會產(chǎn)生一定的干擾;?由于各觸發(fā)器復(fù)位時間可能不等,如Q1先于Q2復(fù)位,則Q1復(fù)位后,立即=1,造成Q2不能有效復(fù)位,導(dǎo)致計數(shù)錯誤。改進型電路:Q3Q3Q0Q2Q1CP00000111110000RD從容復(fù)位&1Q3Q2Q1Q0CRDLD74160EPD3D2D1D0ET∧CP&&?反饋置數(shù)法:適用于具有預(yù)置數(shù)功能的計數(shù)器。例2:要求同例100000001000000010010001101000101Q3Q2Q1Q0CRDLD74160EPD3D2D1D0ET∧CP&1CQ3CQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP110100改進:00000000000100100011010101000110011110001001[45~46]課時:2、小模構(gòu)建大模?并行進位;?串行進位;?整體置零;?整體置數(shù)。?并行進位CQCQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP1CQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP111進位輸出<H><L>1?串行進位CCQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP1CQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP111進位輸出<H><L>11?整體置零方式例3:搭建一個87進制計數(shù)器。CCQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CPCQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP11<H><L>1&?整體置數(shù)方式例4:同例3CQCQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CPCQ3Q2Q1Q0RDLD74160EPD3D2D1D0ET∧CP00011<H><L>1&0011低位計數(shù)器的第一個循環(huán)計7個脈沖,其余循環(huán)均計10個脈沖;高位計數(shù)器每個循環(huán)始終計9個脈沖,當(dāng)兩片計數(shù)器的狀態(tài)均為1001時,產(chǎn)生LD=0的信號,這樣總的脈沖數(shù)共為87個。四、移位寄存器型計數(shù)器Q0Q0Q1Q2Q3CP>c11DQQ>c11DQQ>c11DQQ>c11DQQ111011011110110110110111000011111010010100010010010010001100100100110110CPCPQ1Q0Q2Q3各輸出端依次產(chǎn)生一個脈沖,可作為脈沖分配器使用。優(yōu)缺點:結(jié)構(gòu)簡單,不能自啟動,器件利用率低。具有自啟動功能的環(huán)形計數(shù)器:≥≥1>c11DQQQ0Q1Q2Q3CP>c11DQQ>c11DQQ>c11DQQ00010001001001001000110011101111110101100111010100001010101100111001[47~48]課時:扭環(huán)形計數(shù)器QQ0Q1Q2Q3CP>c11DQQ>c11DQQ>c11DQQ>c11DQQ101001001010010010010010010110110110110100000001001101111111111011001000同理:修改反饋邏輯可使電路自啟動。5.4時序邏輯電路的設(shè)計方法設(shè)計步驟:●根據(jù)邏輯功能的要求確定輸入和輸出,并畫出狀態(tài)轉(zhuǎn)換圖。●狀態(tài)化簡。●狀態(tài)編碼?!翊_定觸發(fā)器類型,求出狀態(tài)方程、驅(qū)動方程和輸出方程?!癞嬤壿媹D?!駲z查電路能否自啟動。例1:設(shè)計一個串行數(shù)據(jù)檢測器,要求是連續(xù)輸入3個或3個以上的1時輸出為1,其余輸出為0。解:▲設(shè):輸入為X,輸出為Y。狀態(tài)轉(zhuǎn)換圖:SSXYS1S0S2S31100100101000010▲狀態(tài)化簡SS1S0S2S31100100101000010S1S0S2111001000010▲狀態(tài)編碼∵2n>3,∴取2個觸發(fā)器。S0=00,S1=01,S2=10,則:XQ1nQ0nQ1n+1Q0n+1Y000111010001100001101111000000011010000001▲確定觸發(fā)器類型,求若干方程狀態(tài)方程:QiQin+1/YQ1nQ0nX000111100100/000/000/001/010/010/1輸出方程:Y=XQ1n若選用JK觸發(fā)器,則:比照J(rèn)K觸發(fā)器的特性方程得:。據(jù)此可畫出邏輯電路圖,并畫出狀態(tài)轉(zhuǎn)換圖以檢查能否自啟動。例1:分析圖P5.1時序電路的邏輯功能,寫出電路的驅(qū)動方程、狀態(tài)方程和輸出方程,畫出電路的狀態(tài)轉(zhuǎn)換圖,說明電路能否自啟動。=Q3⊙Q1[49~50]課時:第六章脈沖波形的產(chǎn)生和整形6.1概述實際中常需要連續(xù)不斷的矩形脈沖或單個的矩形脈沖。獲取矩形脈沖的方法不外乎由電路直接產(chǎn)生或經(jīng)整形產(chǎn)生。本章主要介紹滿足這些要求的單元電路。6.2施密特觸發(fā)器6.2.1用門電路組成vvIvOVTHVT-VT+?VT111R2R1vIvI‘vo‘vO由CMOS構(gòu)成,電路條件:R1<R2,當(dāng)vI=vT+即vI’=VTH時,vO由0→VDD,故:VT+=VTH<R1+R2>/R2=<1+R1/R2>VTH同理:當(dāng)vI下降到VT-即vI,=VTH時,vO由VDD→0,所以:VT-=<1+R1/R2>VTH-<R1/R2>VDD令:VTH=VDD/2,有:VT-=<1-R1/R2>VTH回差:?VT=VT+-VT-=<2R1/R2>VTH同向輸出:負(fù)向輸出:1111&集成施密特觸發(fā)器7413&由TTL電路組成。指標(biāo):VT+≈1.7V,VT-≈0.8V,?VT≈0.9V6.2.3施密特觸發(fā)器的應(yīng)用?波形變換vvIttvOVVIVO1VT+VVT+VT-vItvOt11VIVOvOvvOvIttVVIVO16.3單穩(wěn)態(tài)觸發(fā)器vO1vIvO1vIvI1vI2vOVTH2ttttt穩(wěn)態(tài)穩(wěn)態(tài)暫態(tài)tW恢復(fù)期?微分型11≥1vDDvIvOCdRdRCvI2vO1vI1?積分型1&1&vO1vIRCvOvAvO1vIvAvOttttVTH2tW6.3.1集成單穩(wěn)態(tài)觸發(fā)器74121A2A2A1BvOvO0110011011011100001111CextRextRintCextRextRintVCCA1vOA2BvOGND7543111061491VCCRextCext1B:為上升沿觸發(fā)。Rint:為內(nèi)置電阻,約2k?。tW=0.69RextCext可重復(fù)觸發(fā):不可重復(fù)觸發(fā):vOvOvItWttvOvItWtt[51~52]課時:6.4多諧振蕩器<無穩(wěn)態(tài)電路>6.4.1對稱式vO1vI2vO1vI1ttttvO1vI2vO1vI1ttttVTH1VTH211vO1vI1R1C1vO2vI21C2R2一般取R1=R2=R,C1=C2=C,則:T≈1.3RC,改變C1、C2,可改變輸出脈沖的占空比。vO2vvO2vO1vI1tttvTH111vO1vI1RFvO21CRP振蕩周期:T≈2.2RFC6.4.3環(huán)形振蕩器11vO1vI1vO211vvI1vO2tpdvO1ttt振蕩周期:T=6tpd,太小且不可調(diào)節(jié)。RCRCRSvI1111vI2vI3vO改變R、C可改變振蕩周期。6.4.4用施密特觸發(fā)器構(gòu)成vvIvOVT+VT-tt11RCvIvO11R1CvIvOR2占空比可調(diào)6.4.5石英晶體多諧振蕩器電路的振蕩頻率只取決于石英晶體本身的固有諧振頻率f0,而與電路中其它元器件的參數(shù)無關(guān),所以頻率穩(wěn)定度非常高。11vO1vI1R1C1vO2vI21C2R26.5555定時器及應(yīng)用6..5.1555定時器的電路結(jié)構(gòu)及功能555:TTL單時基7555:CMOSRDRD0vI2vI1vOTD>2/3VCC<2/3VCC>1/3VCC>1/3VCC<1/3VCC<1/3VCC低低高高導(dǎo)通導(dǎo)通截止截止不變不變>2/3VCC<2/3VCC111111&&&+-+?∞+-+?∞VCCvI1VCOvI2RDvO<TH><TR>DISCQ5k?5k?5k?5VR1VR26271483TD6.5.2555定時器的應(yīng)用一、接成施密特觸發(fā)器VVCCRDvI1vI2vO555GNDVCOvIvOvCC0.01μF5134826vvIvO2/3VCC1/3VCCttvvIvO1/3VCC2/3VCCvOvOvIvC2/3VCCtWttt先復(fù)位VVCCRDvI1vI2555vODISCGNDVCOVCCvIvORC0.01μF43516872vCtw=1.1RC。[53~54]課時:第七章:半導(dǎo)體存儲器7.1概述半導(dǎo)體存儲器是一種用來存儲二值信息且容量很大、應(yīng)用很廣的一種半導(dǎo)體器件。靜態(tài):速度快隨機存儲器<RAM>動態(tài):結(jié)構(gòu)簡單,集成度高。按讀取功能掩膜ROM:所存信息由廠家決定,無法更改。只讀存儲器<ROM>掩膜ROM:所存信息由廠家決定,無法更改。EPROM:寫入后用戶可更改。雙極型按制造工藝MOS型:功耗低,集成度高。主要性能指標(biāo):讀取速度,容量。7.2只讀存儲器<ROM>7.2.1掩膜ROM一、結(jié)構(gòu)地地址譯碼器地址信號存儲矩陣輸出緩沖器數(shù)據(jù)輸出三態(tài)控制如:111EN1EN1EN1EN1ENVCCA1A0W0W1W2W3D’0D’2D’3D’1D0D2D3D1地址譯碼存儲矩陣輸出緩沖AA1A0D3D2D1D0001101010101101101011100W3~W0:稱為字線;D3~D0:稱為位線。不難看出:存儲矩陣中字線和位線的每個交叉點處即為一個存儲單元且交叉點處接有三極管時相當(dāng)于存1,沒接的相當(dāng)于存0,可見,存儲的信息由二極管所在位置來確定,所以這種存儲器存儲的信息完全由生產(chǎn)廠家決定。另外,存儲矩陣中的二極管當(dāng)然也可以由其它開關(guān)器件來替代。7.2.2可編程只讀存儲器PROMVCCVCC字線位線熔絲出廠時,每個單元都存入信息1,編程時首先確定要寫入0的單元,并確定其對應(yīng)的地址,然后使相應(yīng)的字線為高電平,在VCC上加編程級電壓,并在編程單元的位線上加編程脈沖,使編程單元的熔絲燒斷。7.2.3可擦可編程只讀存儲器EPROM一、EPROM存儲單元:當(dāng)VT1導(dǎo)通時,位線為高電平,相當(dāng)于存入1,截止時,相當(dāng)于存入0。擦除:用紫外線照射柵極約30分鐘左右即可。VTVT1VT2VT3字線位線-VDD二、E2PROMVT1VT1VT2WiBiVT1:浮柵隧道氧化層MOS管<NMOS>。寫入:加圖示電壓,于是VT1浮置柵上<Gf>原來捕獲的電子得以經(jīng)隧道由VT1漏極釋放,成為低開啟電壓管<小于3V,導(dǎo)通>,Bi線變?yōu)榈碗娖?相當(dāng)于寫入0。擦除:加圖示電壓,VT2管導(dǎo)通,VT1漏極電位為0V,于是電子經(jīng)VT1漏極穿過隧道被VT1浮柵捕獲,VT1管變成高開啟電壓管<約為7V,截止>,Bi線變?yōu)楦唠娖?相當(dāng)于存入1。讀出:加圖示電壓,由于VT2始終導(dǎo)通,所以VT1截止時,Bi=1,VT1導(dǎo)通時,Bi=0。[55~56]課時7.3隨機存儲器<RAM>7.3.1靜態(tài)隨機存儲器SRAM行地行地址譯碼器存儲矩陣讀寫控制三態(tài)列地址譯碼器An-1Ai+1AiA0I/OXiYiR/WCS行譯碼器輸出Wi線,以選中一行存儲單元,列譯碼器輸出Bi線,從已選中的一行存儲單元中再選中1位或幾位。=0,執(zhí)行寫操作;=1,執(zhí)行讀操作。=0,允許讀寫操作;=1,數(shù)據(jù)線為高阻態(tài)。二、SRAM的存儲單元寫操作采用二路傳輸?shù)哪康氖潜WC能將數(shù)據(jù)可靠地寫入存儲單元。Intel2114:容量:1024bit,18腳封裝,+5V電源11EN1EN1EN&&CSYiXiVDDR/WI/OQQBiBi行行地址譯碼器64*64存儲矩陣讀寫控制電路列地址譯碼器A4A3A2A1A0A9A5X63X0Y15Y0I/O4I/O3I/O2I/O1CSR/W6位行地址可選中64行,64列分為16組,每組4個單元<字長為4位>,由4位列地址分別選中。7.4存儲器容量的擴展7.4.1位擴展I/O4I/O4I/O3I/O2I/O12114A9A8A0R/wCSI/O4I/O3I/O2I/O12114A9A8A0R/wCSI/O5A0A8A9CSR/wI/O4I/O3I/O2I/O1I/O8I/O7I/O67.4.1字?jǐn)U展用4片256*8bit的RAM擴展成1024*8bit的RAM地址分配:A9~A01#:000H~0FFH<0~255字節(jié)>2#:100H~1FFH<256~511字節(jié)>3#:200H~2FFH<256~767字節(jié)>4#:300H~3FFH<768~1023字節(jié)>I/OI/O7I/O0256*8A7A0R/wCSI/O7I/O0256*8A7A0R/wCSI/O7I/O0256*8A7A0R/wCSI/O7I/O0256*8A7A0R/wCSY3Y2Y1Y0A9A8A7A0R/wI/O0I/O7[57~58]課時:7.5用存儲器實現(xiàn)組合邏輯電路如果用PROM的地址信號作為邏輯變量,則地址譯碼器的輸出<即字線>顯然對應(yīng)著所有最小項,又因為位線輸出是若干字線之和,所以通過編程,位線可作為邏輯量函數(shù)。用PROM實現(xiàn)以下一組多輸出邏輯函數(shù):因為邏輯函數(shù)為4變量的,所以存儲器至少需要16根字線<即4根地址線>,又因為同時要求實現(xiàn)4個邏輯函數(shù),故而存儲器至少要有4根位線,換句話說存儲器的容量至少應(yīng)為:16*4bit11111A0A1A2AA3BCDY1Y4Y3Y2W15W0W1與邏輯陣列或邏輯陣列可見,只需要將以上信息寫入存儲矩陣中即可。由于與邏輯陣列不可編程,所以器件的利用率比較低。第八章可編程邏輯器件8.1概述可編程邏輯器件PLD是一種通用的數(shù)字集成電路,它的功能可由用戶通過編程來設(shè)定,通用性強,使用方便?,F(xiàn)場可編程邏輯陣列FPLA可編程陣列邏輯PALPLD通用陣列邏輯GAL可擦除的可編程邏輯器件EPLD現(xiàn)場可編程門陣列FPGAPLD的電路結(jié)構(gòu)與PROM差不多,只是PLD的與、或邏輯陣列均可編程,所以使用更靈活,利用率更高。CBCBADPPCBAD&C&CBAPCBAP8.2現(xiàn)場可編程邏輯陣列FPLA與邏輯陣列與邏輯陣列或邏輯陣列OEABCY1=ABC+ABCY3=ABC+ABCY2=ABCFPLA的規(guī)格:輸入變量數(shù)*與陣列輸出端數(shù)*或陣列端數(shù),如:3*4*3。S0S0S1MY0Y1XOROEM處熔絲燒斷時,M=1;未燒斷時,M=0。故通過對M編程可控制輸出極性。當(dāng)然,時序邏輯型F

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論