中微公司深度分析報(bào)告:半導(dǎo)體設(shè)備平臺(tái)型龍頭技術(shù)全球領(lǐng)先_第1頁(yè)
中微公司深度分析報(bào)告:半導(dǎo)體設(shè)備平臺(tái)型龍頭技術(shù)全球領(lǐng)先_第2頁(yè)
中微公司深度分析報(bào)告:半導(dǎo)體設(shè)備平臺(tái)型龍頭技術(shù)全球領(lǐng)先_第3頁(yè)
中微公司深度分析報(bào)告:半導(dǎo)體設(shè)備平臺(tái)型龍頭技術(shù)全球領(lǐng)先_第4頁(yè)
中微公司深度分析報(bào)告:半導(dǎo)體設(shè)備平臺(tái)型龍頭技術(shù)全球領(lǐng)先_第5頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

中微公司深度分析報(bào)告:半導(dǎo)體設(shè)備平臺(tái)型龍頭,技術(shù)全球領(lǐng)先一、公司概況:國(guó)內(nèi)龍頭、全球領(lǐng)先的半導(dǎo)體設(shè)備公司主營(yíng)業(yè)務(wù):刻蝕起家,擴(kuò)展

CVD,逐步成為平臺(tái)型企業(yè)公司概況:具有全球視野,技術(shù)領(lǐng)先型半導(dǎo)體設(shè)備國(guó)產(chǎn)龍頭廠商,以刻蝕設(shè)備與

MOCVD(金屬有機(jī)化學(xué)氣相沉積)設(shè)備為核心,外延拓展逐步成為泛半導(dǎo)體設(shè)備平臺(tái)型

企業(yè)。公司

2020

年實(shí)現(xiàn)營(yíng)收/凈利潤(rùn)分別為

22.73/4.92

億元,過去

4

CAGR分別為

38.97%/32.28%。主營(yíng)構(gòu)成:刻蝕設(shè)備與

MOCVD設(shè)備為核心業(yè)務(wù),收入占比

57%/22%,同時(shí)拓展其

他泛半導(dǎo)體關(guān)鍵設(shè)備。公司主要從事高端半導(dǎo)體設(shè)備及泛半導(dǎo)體設(shè)備的研發(fā)、生產(chǎn)和銷

售,主營(yíng)業(yè)務(wù)包括以下幾類:1)刻蝕設(shè)備:2020

年?duì)I收

12.89

億元,占比

56.70%,同比+58.5%。公司刻蝕設(shè)

備產(chǎn)品分為

CCP(電容性等離子體刻蝕)和

ICP(電感性等離子體刻蝕)設(shè)備,均用于

集成電路制造或先進(jìn)封裝領(lǐng)域。CCP刻蝕設(shè)備:等離子體能量高,主要應(yīng)用于較硬介質(zhì)

材料的刻蝕,如氧化硅、氮化硅及低介電系數(shù)膜層等,是公司最早開發(fā)的設(shè)備品類,產(chǎn)

品已應(yīng)用在國(guó)際一線客戶

65nm~5nm生產(chǎn)線,在部分客戶處份額已進(jìn)入前三位。ICP刻蝕設(shè)備:等離子體能量較低、密度高且均勻、易于控制,主要應(yīng)用于較軟的介質(zhì)薄膜以及金屬、單晶硅、多晶硅的刻蝕,是公司后續(xù)的重要發(fā)力點(diǎn)之一,產(chǎn)品應(yīng)用于

1X納米及更先進(jìn)工藝的邏輯和存儲(chǔ)器件,ICP深硅刻蝕機(jī)型用于

CMOS圖像傳感器、MEMS芯片、

2.5D芯片、3D芯片等通孔及溝槽的刻蝕。2)MOCVD設(shè)備,2020

年?duì)I收

4.96

億元,占比

21.82%,同比-34.5%。主要應(yīng)用

LED外延片和功率器件的生產(chǎn),公司已是世界排名前列的氮化鎵(GaN)基

LED設(shè)備

制造商。目前公司

MOCVD產(chǎn)品已覆蓋藍(lán)綠光

LED、功率器件、MiniLED、深紫外

LED領(lǐng)域,在行業(yè)領(lǐng)先客戶的生產(chǎn)線上大規(guī)模投入量產(chǎn)。3)其他設(shè)備,即

VOC設(shè)備,2020

年?duì)I收

0.14

億元,占比

0.6%,同比-18.4%。子

公司中微惠創(chuàng)

2016

年推出工業(yè)用大型

VOC(有機(jī)揮發(fā)性氣體)凈化設(shè)備,即一類用于

半導(dǎo)體行業(yè)尾氣處理的環(huán)保設(shè)備,目前已用于平板顯示生產(chǎn)線。4)備品備件及設(shè)備維護(hù),2020

年收入占比分別為

19.43%/1.44%,同比分別

+30.6%/+57.1%。公司在向半導(dǎo)體廠商出售設(shè)備后,提供的后續(xù)備品備件和設(shè)備維護(hù)服

務(wù),收入隨著累計(jì)裝機(jī)數(shù)的增加而穩(wěn)步提升??蛻暨M(jìn)展:產(chǎn)品進(jìn)入國(guó)內(nèi)外頭部企業(yè),完善客戶服務(wù)體系獲得業(yè)界贊譽(yù)。截至

2020Q3

末,公司刻蝕和薄膜設(shè)備

1600

多個(gè)

反應(yīng)臺(tái)服務(wù)于亞歐

50

余家芯片制造公司的

70

余條生產(chǎn)線,已具備較好的客戶資源。公

司客戶服務(wù)體系完善,獲得了廣泛的業(yè)界贊譽(yù),是

2019

年五家被評(píng)為五星級(jí)的半導(dǎo)體設(shè)備公司之一。未來發(fā)展:三維立體成長(zhǎng),努力成為國(guó)際一流的微觀加工設(shè)備公司。公司已形成三

個(gè)維度擴(kuò)展未來業(yè)務(wù)的布局規(guī)劃。在集成電路設(shè)備領(lǐng)域,公司有望擴(kuò)大在刻蝕設(shè)備領(lǐng)域

的競(jìng)爭(zhēng)優(yōu)勢(shì),延伸到薄膜、檢測(cè)等其他關(guān)鍵設(shè)備領(lǐng)域;在泛半導(dǎo)體設(shè)備領(lǐng)域,公司有望

借助現(xiàn)有技術(shù)積累,擴(kuò)展布局顯示、MEMS、功率器件、太陽(yáng)能領(lǐng)域的關(guān)鍵設(shè)備;在其

他新興領(lǐng)域,公司基于對(duì)半導(dǎo)體產(chǎn)業(yè)、微納加工制造領(lǐng)域的深刻理解,有望探索半導(dǎo)體

配套環(huán)保設(shè)備、設(shè)備網(wǎng)絡(luò)、電子生物等領(lǐng)域的市場(chǎng)機(jī)會(huì)。公司圍繞自身核心競(jìng)爭(zhēng)力,通

過自主創(chuàng)新、有機(jī)生長(zhǎng),結(jié)合適當(dāng)?shù)耐顿Y、兼并策略,不斷推動(dòng)企業(yè)健康發(fā)展,有望在

10-15

年后成為國(guó)際一流的微觀加工設(shè)備公司。管理團(tuán)隊(duì):核心團(tuán)隊(duì)國(guó)際化,人才梯隊(duì)建設(shè)完備國(guó)際化管理團(tuán)隊(duì),核心管理團(tuán)隊(duì)多具有海外半導(dǎo)體設(shè)備龍頭廠商工作經(jīng)驗(yàn)。半導(dǎo)體

關(guān)鍵設(shè)備開發(fā)因其涉及眾多基礎(chǔ)科學(xué)技術(shù)和工程領(lǐng)域交叉,極具復(fù)雜度,故需要具備業(yè)

內(nèi)資深經(jīng)驗(yàn)的專家型團(tuán)隊(duì)。公司有著國(guó)際化的管理團(tuán)隊(duì),具備

160

多位資深的技術(shù)、銷

售和營(yíng)運(yùn)專家。公司創(chuàng)始人、董事長(zhǎng)及總經(jīng)理尹志堯博士在半導(dǎo)體芯片和設(shè)備產(chǎn)業(yè)有超

30

年的行業(yè)經(jīng)驗(yàn),是國(guó)際等離子體刻蝕技術(shù)發(fā)展和產(chǎn)業(yè)化的重要推動(dòng)者之一。此外,公司其他聯(lián)合創(chuàng)始人、核心技術(shù)人員等同樣擁有資深的技術(shù)經(jīng)驗(yàn)背景,曾在國(guó)際知名半導(dǎo)體設(shè)備廠商擔(dān)任重要職位,保障公司未來長(zhǎng)遠(yuǎn)發(fā)展。人才培養(yǎng)計(jì)劃持續(xù)推進(jìn),2020

年末公司員工人數(shù)近

900

名,研發(fā)人員占比高達(dá)

39%。公司高度重視人才的吸引和發(fā)展,從國(guó)內(nèi)外吸引了大批行業(yè)經(jīng)驗(yàn)豐富的管理及技

術(shù)人才,2020

年末員工總數(shù)達(dá)

894

人,較上年同期凈增

171

人。公司建立人才梯隊(duì)培養(yǎng)

機(jī)制,采用企業(yè)內(nèi)訓(xùn)、外聘內(nèi)訓(xùn)和委外培訓(xùn)相結(jié)合的方式開展多維度、多層次的培訓(xùn)項(xiàng)

目,讓員工與企業(yè)共同進(jìn)步成長(zhǎng)。為吸引和保留核心骨干人才,公司不斷探索員工激勵(lì)

機(jī)制,包括員工持股激勵(lì)、技術(shù)創(chuàng)新貢獻(xiàn)獎(jiǎng)勵(lì)等,將研發(fā)人員的個(gè)人利益與公司利益綁

定,促進(jìn)公司長(zhǎng)遠(yuǎn)發(fā)展。截至

2020

年末,公司共有

346

名研發(fā)人員,占比

38.70%,其中博士

/

碩士

/

本科

/

大專及以下分別為

62/106/138/40

,

分別占

17.92%/30.64%/39.88%/11.56%。股權(quán)架構(gòu):國(guó)資入股,股權(quán)激勵(lì)覆蓋超

9

成員工多家知名投資機(jī)構(gòu)入股,技術(shù)團(tuán)隊(duì)專業(yè)背景豐富。公司股東較為分散,

2020

年定增發(fā)行后(2021

7

月發(fā)行完畢),公司第一大股東上海創(chuàng)投持股比例為

15.67%,目前無實(shí)際控制人,重要決策均屬于各方共同參與決策。國(guó)資入股彰顯長(zhǎng)期信心,上海市國(guó)資委通過上海創(chuàng)投持有公司

15.67%股份,國(guó)家集成電路產(chǎn)業(yè)投資基金通過巽鑫投資持有公司

15.17%股份,國(guó)家集成電路產(chǎn)業(yè)投資基金二期參與公司定增并持有

3.97%股份;國(guó)開行間接持有公司

1.97%股份;南昌智微、中微亞洲、GRENADE、

BOOTES為公司員工持股平臺(tái),合計(jì)持股

12.69%,其他員工持股平臺(tái)還包括勵(lì)微投資、

芃徽投資,尹志堯等

7

位高管直接持有公司

2.68%股份;此外,公司獲得眾多國(guó)內(nèi)外知

名股權(quán)投資基金和機(jī)構(gòu)入股。股權(quán)激勵(lì):覆蓋

9

成以上員工,調(diào)動(dòng)員工積極性并實(shí)現(xiàn)利益協(xié)同,公司穩(wěn)定成長(zhǎng)明

確。公司通過限制性股權(quán)激勵(lì)計(jì)劃、股票增值權(quán)激勵(lì)計(jì)劃,實(shí)現(xiàn)公司、管理層、員工間的利益協(xié)同。公司層面歸屬/行權(quán)比例根據(jù)未來年度營(yíng)收情況實(shí)行考核,員工最終獲得的股權(quán)數(shù)量仍要考慮員工個(gè)人層面業(yè)績(jī)考核。2020

年限制性股權(quán)激勵(lì)計(jì)劃中,首次授予部分覆蓋

700

位員工,在授予時(shí)公司全部員工中占比

91.86%,覆蓋核心技術(shù)人

員以及中層管理人員及骨干、優(yōu)秀基層員工,授予數(shù)量合計(jì)

670

萬股,占公司當(dāng)前總股

本的

1.09%;預(yù)留部分已授予

103.989

萬股,占當(dāng)前總股本的

0.17%。2020

年公司因股權(quán)激勵(lì)確認(rèn)的股份支付費(fèi)用

1.24

億元,預(yù)計(jì)

2021

年為

1.91

億元,隨后逐年呈下降趨勢(shì)。財(cái)務(wù)分析:營(yíng)收

CAGR近

40%,毛利率近

40%,研發(fā)費(fèi)用率高于同業(yè)收入端:近五年?duì)I收

CAGR約

39%,受益國(guó)產(chǎn)替代及下游擴(kuò)產(chǎn),2020

年同比+17%。

從歷年?duì)I收看,依托公司在刻蝕設(shè)備、MOCVD設(shè)備及其他設(shè)備領(lǐng)域領(lǐng)先的技術(shù)優(yōu)勢(shì)以及

良好的客戶基礎(chǔ),公司業(yè)務(wù)規(guī)模不斷擴(kuò)大,2020

年實(shí)現(xiàn)營(yíng)收

22.73

億元,同比+16.76%。

從不同業(yè)務(wù)營(yíng)收占比看,2020

年刻蝕設(shè)備/MOCVD設(shè)備/VOC設(shè)備/備品備件/設(shè)備維護(hù)/

其他業(yè)務(wù)分別占比

56.70%/21.82%/0.62%/19.43%/1.44%/0.01%。收入結(jié)構(gòu):1)從各地區(qū)占比看,受益全球晶圓產(chǎn)能部分向中國(guó)大陸轉(zhuǎn)移,2020

年公司收入在中國(guó)大陸/中國(guó)臺(tái)灣/其他地區(qū)占比分別

79.61%/17.76%/2.63%。2)從客戶集中度來看,公司客戶集中度占比逐年降低,客戶群體逐漸增加,2020

年前五名客戶占

比為

59.65%。3)從分季度營(yíng)收來看,公司營(yíng)業(yè)收入存在一定的季節(jié)性波動(dòng)特征,大部

分設(shè)備相對(duì)集中于下半年驗(yàn)收,使得第四季度收入占比較高。受益半導(dǎo)體國(guó)產(chǎn)替代背景

下國(guó)內(nèi)需求大幅提升,2021Q1

公司實(shí)現(xiàn)營(yíng)收

6.03

億元,同比+46.24%。成本端:直接材料為主要成本,前五名供應(yīng)商占比在

30%附近。從成本結(jié)構(gòu)來看,

2020

年直接材料/直接人工/制造費(fèi)用占比分別為

89.58%/1.40%/9.03%,其中直接材料為

主營(yíng)業(yè)務(wù)成本的主要構(gòu)成部分,2020

年制造費(fèi)用由于研發(fā)費(fèi)用資本化攤銷、股份支付費(fèi)

用增加,制造費(fèi)用占比有一定提升。利潤(rùn)端:毛利率提升推動(dòng)凈利率提升,盈利水平與可比公司相當(dāng)。2020

年公司毛利

率為

37.67%,同比+2.74%。拆分不同業(yè)務(wù)來看,公司專用設(shè)備/備品備件/設(shè)備維護(hù)/其他

業(yè)務(wù)毛利率分別為

37.32%/37.64%/57.09%/38.02%。從公司歷年凈利潤(rùn)情況看,公司在

2017

年度實(shí)現(xiàn)扭虧為盈,隨著業(yè)務(wù)規(guī)模的擴(kuò)大,盈利能力逐年提升,2020

年實(shí)現(xiàn)凈利潤(rùn)

4.92

億元,同比+161.06%;2021Q1

毛利率為

40.92%,同比+7.06pcts,凈利潤(rùn)

1.38

元,同比+425.36%,其中政府補(bǔ)同比增加約

1.43

億元。從歷年整體毛利率、期間費(fèi)用率、

凈利率情況來看,公司毛利率在

40%左右波動(dòng),期間費(fèi)用率在

30%左右波動(dòng),凈利率保

持上行趨勢(shì)。盈利水平對(duì)比:與海外半導(dǎo)體設(shè)備巨頭公司對(duì)比來看,中微公司

2020

年毛利率

低于應(yīng)用材料、阿斯麥、泛林、東京電子等龍頭廠商,在凈利率水平上與國(guó)際領(lǐng)先企業(yè)相當(dāng);與國(guó)內(nèi)其他可比公司對(duì)比,公司

2020

年毛利率低于國(guó)內(nèi)可比公司均值,凈利率高

于可比公司均值。費(fèi)用端:主要為銷售費(fèi)用與研發(fā)費(fèi)用。公司

2020

年銷售/管理/研發(fā)/財(cái)務(wù)費(fèi)用率分別

10.41%/6.73%/14.55%/-0.33%,期間費(fèi)用率為

31.16%,同比+3.74pcts,其中研發(fā)費(fèi)

用率伴隨公司規(guī)模的提升而不斷增加,為公司未來長(zhǎng)期發(fā)展提供保障,2020

年研發(fā)費(fèi)用

率為

14.55%,同比+2.54pcts。研發(fā)投入:研發(fā)費(fèi)用及研發(fā)人員占比高于國(guó)內(nèi)同業(yè)公司平均水平,持續(xù)驅(qū)動(dòng)公司成

長(zhǎng)。與國(guó)內(nèi)可比公司對(duì)比來看,公司

2020

年研發(fā)費(fèi)用為

3.31

億元,研發(fā)費(fèi)用率為

14.55%,高于國(guó)內(nèi)可比公司平均水平;截至

2020

年末共有

346

名研發(fā)人員,在所有員

工中占比

38.70%,數(shù)量上略低于國(guó)內(nèi)公司平均值,但占比高于國(guó)內(nèi)可比公司均值。與海

外可比公司對(duì)比來看,研發(fā)費(fèi)用率、研發(fā)人員占比情況與可比公司相當(dāng),但在研發(fā)費(fèi)用、

研發(fā)人員數(shù)量上仍存在差距。研發(fā)成果:承擔(dān)多項(xiàng)重大科研項(xiàng)目,技術(shù)儲(chǔ)備雄厚,2020

年末已獲得

1096

項(xiàng)專利,

其中發(fā)明專利占比

84%。公司自成立以來先后承擔(dān)了多項(xiàng)國(guó)家和地方重大科研項(xiàng)目,包括等離子體刻蝕、MOCVD等高端半導(dǎo)體裝備的研發(fā)和產(chǎn)業(yè)化等

8

項(xiàng)。2020

年公司新申請(qǐng)專利共

295

項(xiàng),其中發(fā)明專利申請(qǐng)

220

項(xiàng);獲得授權(quán)

80

項(xiàng),其中獲得發(fā)明專利

58

項(xiàng);

截至

2020

年末,公司已申請(qǐng)

1755

項(xiàng)專利,其中發(fā)明專利

1517

項(xiàng),占比

86%;已獲授

權(quán)專利

1096

項(xiàng),其中發(fā)明專利

917

項(xiàng),占比

84%。公司積累了深厚的技術(shù)儲(chǔ)備和豐富

的研發(fā)經(jīng)驗(yàn),保證公司產(chǎn)品和服務(wù)不斷進(jìn)步。二、行業(yè)趨勢(shì):國(guó)內(nèi)晶圓廠逆周期持續(xù)擴(kuò)產(chǎn)+設(shè)備本土化泛半導(dǎo)體制造可涉及數(shù)百道工藝,需數(shù)十種高端設(shè)備;中微公司已在刻蝕、薄膜細(xì)

分領(lǐng)域突破,未來將延伸布局過程控制等新品類。泛半導(dǎo)體包含集成電路、LED、光伏

電池等領(lǐng)域,在制造環(huán)節(jié)中具有相似性,其中集成電路制造最為復(fù)雜,作為原料的晶圓

裸片需要在擴(kuò)散、光刻、刻蝕、薄膜、離子注入和拋光六大廠區(qū)中完成數(shù)百道加工工序,

最終才形成成品芯片并送往后道工廠進(jìn)行封測(cè),涉及半導(dǎo)體設(shè)備達(dá)數(shù)十種。我們梳理泛

半導(dǎo)體領(lǐng)域晶圓制造環(huán)節(jié)的設(shè)備品類如下:其中中微公司為國(guó)內(nèi)半導(dǎo)體設(shè)備龍頭,可提

供刻蝕機(jī)、MOCVD設(shè)備等核心半導(dǎo)體設(shè)備,未來將進(jìn)一步拓展布局薄膜沉積、過程控

制品類。未來公司有望在刻蝕、CVD領(lǐng)域進(jìn)行產(chǎn)品升級(jí),并向量測(cè)設(shè)備、光伏設(shè)備等領(lǐng)域拓

展,打開廣闊市場(chǎng)。1)刻蝕設(shè)備:公司將加碼

ALE刻蝕,干法刻蝕市場(chǎng)(約

150

億美

元市場(chǎng))全覆蓋。2)CVD設(shè)備:公司已出貨紫外光

LEDMOCVD設(shè)備,并拓展

MOCVD設(shè)備應(yīng)用領(lǐng)域至

Mini/MicroLED及功率器件領(lǐng)域;此外,公司有望開拓薄膜沉

積中的各類

CVD設(shè)備,如

LPCVD、ALD、外延等品類,對(duì)應(yīng)約

100

億美元市場(chǎng)

。3)其他設(shè)備:公司有望借助上海睿勵(lì)協(xié)同優(yōu)勢(shì)布局過程控制設(shè)備(約

80

億美元市場(chǎng));同時(shí)投建

VOC設(shè)備產(chǎn)線,進(jìn)軍環(huán)保市場(chǎng)及平板顯示設(shè)

備市場(chǎng);長(zhǎng)期看,公司計(jì)劃進(jìn)軍光伏設(shè)備市場(chǎng)(50

億美元),成功進(jìn)入后,將打開廣闊空間,料將成長(zhǎng)為泛半導(dǎo)體設(shè)備平臺(tái)型龍頭。市場(chǎng)空間:料

2022

年全球近千億美元規(guī)模,受益國(guó)內(nèi)晶圓廠快速擴(kuò)產(chǎn)領(lǐng)先全球預(yù)計(jì)

2022

年全球半導(dǎo)體設(shè)備銷售額突破千億美元。半導(dǎo)體設(shè)備市場(chǎng)規(guī)模受到經(jīng)濟(jì)波

動(dòng)與技術(shù)變革影響呈周期性上升,

2020

年全球市場(chǎng)規(guī)模達(dá)到

711.9

美元,同比+19.15%,疫情后經(jīng)濟(jì)快速?gòu)?fù)蘇導(dǎo)致供不應(yīng)求,制造端大規(guī)模擴(kuò)產(chǎn)帶動(dòng)下,預(yù)計(jì)

2021

年全球市場(chǎng)規(guī)模上升至

953

億美元,同比+33.87%,2022

年將突破

1000

億美元。從區(qū)域上看:中國(guó)大陸半導(dǎo)體設(shè)備市場(chǎng)規(guī)模于

2020

年攀升至全球首位。聚焦中國(guó)大

陸市場(chǎng)來看,2020

年半導(dǎo)體設(shè)備市場(chǎng)規(guī)模約

187.2

億美元,同比+39.18%。中國(guó)在全球

半導(dǎo)體設(shè)備領(lǐng)域中的地位不斷提高,市場(chǎng)規(guī)模占比從

2011

年的

8%提升至

2020

年的

26%,首次達(dá)到全球第一,其次為中國(guó)臺(tái)灣、韓國(guó),分別占比

24.09%/2.59%。根據(jù)

SEMI預(yù)測(cè),中國(guó)大陸、中國(guó)臺(tái)灣、韓國(guó)在

2021

年仍是全球半導(dǎo)體設(shè)備領(lǐng)域的前三大市

場(chǎng)。從類型上看:半導(dǎo)體設(shè)備細(xì)分類型較為分散,光刻、刻蝕、薄膜沉積價(jià)值量占比最

大。晶圓制造是半導(dǎo)體設(shè)備價(jià)值量最高的環(huán)節(jié),根據(jù)

SEMI數(shù)據(jù),2020

年全球半導(dǎo)體設(shè)

備市場(chǎng)中晶圓制造/測(cè)試/封裝設(shè)備銷售額占比分別為

86.12%/8.46%/5.42%。晶圓制造設(shè)

備從類別上可分為刻蝕、薄膜沉積、光刻、檢測(cè)、離子摻雜等十多類,其中光刻、刻蝕、

薄膜沉積設(shè)備是集成電路前道生產(chǎn)工藝中最重要的三類設(shè)備。根據(jù)

Gartner數(shù)據(jù),2019

年按全球晶圓制造設(shè)備市場(chǎng)中,光刻、刻蝕、薄膜沉積設(shè)備價(jià)值量占比最高,分別約25%/24%/21%。從晶圓廠擴(kuò)產(chǎn)情況看:中國(guó)大陸快速擴(kuò)產(chǎn),國(guó)產(chǎn)設(shè)備廠商迎來發(fā)展機(jī)遇。為滿足通信、計(jì)算、醫(yī)療保健、在線服務(wù)和汽車等下游市場(chǎng)對(duì)芯片的需求,預(yù)計(jì)

2021/22

年全球?qū)⒂?/p>

19/10

座新建的高產(chǎn)能晶圓廠,其中中國(guó)大陸地區(qū)新建數(shù)量領(lǐng)先,分

別為

5/3

座。SEMI預(yù)計(jì)

29

座新建晶圓廠設(shè)備支出超過

1400

億美元,其中

15

座將為

300mm(12

英寸)型,月生產(chǎn)合計(jì)多達(dá)

260

萬片晶圓(等效

8

英寸),此外還有

8

座晶

圓廠可能于今明年建設(shè)。根據(jù)

ICInsight預(yù)測(cè),全球/中國(guó)晶圓產(chǎn)能將由

2018

年的

1945/243

萬片/月升至

2022

年的

2391/410

萬片/月(等效

8

英寸),CAGR約

5%/14%。

聚焦中國(guó)大陸晶圓廠(硅基)新建及擴(kuò)產(chǎn)產(chǎn)能情況,中芯國(guó)際、華虹集團(tuán)、長(zhǎng)江存儲(chǔ)、

長(zhǎng)鑫存儲(chǔ)、等晶圓廠均有擴(kuò)產(chǎn)計(jì)劃,并加速國(guó)產(chǎn)設(shè)備驗(yàn)證導(dǎo)入,國(guó)產(chǎn)設(shè)備廠商將迎來發(fā)

展機(jī)遇。競(jìng)爭(zhēng)格局:美日歐廠商占據(jù)優(yōu)勢(shì),國(guó)內(nèi)廠商以點(diǎn)帶面突破全球格局:美日歐廠商長(zhǎng)期占據(jù)市場(chǎng)主導(dǎo),2020

CR15

80%。

2020

年全球半導(dǎo)體設(shè)備領(lǐng)域市場(chǎng)營(yíng)收規(guī)模約

924.1

億美元,同比

+18.4%,然而

TOP15

公司均為歐美日韓廠商,中國(guó)大陸廠商在全球市場(chǎng)占比僅約

2%左

右。具體來看,美國(guó)應(yīng)用材料、荷蘭阿斯麥營(yíng)收規(guī)模上遙遙領(lǐng)先,2020

年分別為

163.7/154.0

億美元,占據(jù)

17.7%/16.7%的市場(chǎng)份額,其次為泛林、東京電子等眾多龍頭

廠商,2020

年全球半導(dǎo)體設(shè)備領(lǐng)域

CR15

高達(dá)

82.6%。聚焦國(guó)內(nèi):技術(shù)能力快速提升,細(xì)分領(lǐng)域點(diǎn)狀突破。經(jīng)過多年的技術(shù)研發(fā)和沉淀,

國(guó)內(nèi)半導(dǎo)體設(shè)備廠商在產(chǎn)品品質(zhì)、品牌信譽(yù)度、客戶資源等方面不斷追趕,現(xiàn)已在細(xì)分

領(lǐng)域具備國(guó)產(chǎn)替代能力。中微公司已是我國(guó)半導(dǎo)體設(shè)備領(lǐng)域領(lǐng)先廠商之一。未來國(guó)內(nèi)設(shè)

備廠商有望在技術(shù)上不斷突破,實(shí)現(xiàn)產(chǎn)品品質(zhì)及營(yíng)收體量的提升,持續(xù)追趕海外龍頭。三、刻蝕設(shè)備:國(guó)內(nèi)龍頭,產(chǎn)品滿足先進(jìn)制程工藝需求市場(chǎng)空間:全球刻蝕設(shè)備市場(chǎng)約

140

億美元全球刻蝕設(shè)備以干法刻蝕設(shè)備為主,市場(chǎng)規(guī)模約

140

億美元??涛g是指通過溶液、

離子等方式剝離移除晶圓表面材料,從而達(dá)到集成電路芯片結(jié)構(gòu)設(shè)計(jì)要求的工藝環(huán)節(jié)。

隨芯片制程縮進(jìn)與三維結(jié)構(gòu)升級(jí),更復(fù)雜的刻蝕工藝和步驟數(shù)量對(duì)刻蝕設(shè)備的數(shù)量、質(zhì)

量要求持續(xù)增加。從工藝技術(shù)看,刻蝕可分為濕法刻蝕和干法刻蝕,由于干法刻蝕精確

度、潔凈度更高而成為主流,干法刻蝕占比約為

90%。從刻蝕對(duì)象的材質(zhì)看,刻蝕可分為介質(zhì)刻蝕(占比

44%,2020

年,下同)和導(dǎo)體刻蝕(占比

56%,其中主要為硅刻蝕,少部分為金屬刻蝕),該機(jī)構(gòu)預(yù)計(jì)

2020-2025

CAGR分別約為

6.4%/5.4%。根據(jù)不同材質(zhì)的特點(diǎn),由于

CCP(電容耦合等離子刻蝕)

的等離子體轟擊力強(qiáng),適合刻蝕氧化物、氮氧化物等較硬的介質(zhì)材料等;ICP(電感耦合

等離子刻蝕)的等離子體密度高,能量較低,易于控制,適合刻蝕較軟或較薄的材料,

用于單晶硅、多晶硅、金屬以及部分介質(zhì)材料等。市場(chǎng)格局:美、日大廠壟斷,公司細(xì)分領(lǐng)域突破刻蝕設(shè)備市場(chǎng)高度集中,海外大廠三足鼎立,2019

CR3

90%。

2019

年,泛林半導(dǎo)體(52%)、東京電子(20%)、應(yīng)用材料(19%)分列全球刻蝕設(shè)備

市場(chǎng)前三。其中,在導(dǎo)體刻蝕領(lǐng)域,泛林半導(dǎo)體與應(yīng)用材料兩家獨(dú)大,

2020

年泛林半導(dǎo)體(54%)、應(yīng)用材料(30%)、東京電子(5%)分列前三;在介質(zhì)刻蝕

領(lǐng)域,東京電子與泛林半導(dǎo)體雙雄爭(zhēng)霸,

2020

年,東京電子(53%)、

泛林半導(dǎo)體(38%)、中微公司分列前三。中微公司細(xì)分突破加速追趕,國(guó)產(chǎn)替代趨勢(shì)下份額提升未來可期。隨著國(guó)內(nèi)刻蝕廠

商技術(shù)提升,部分廠商成功進(jìn)入龍頭存儲(chǔ)廠/晶圓廠供應(yīng)鏈。存儲(chǔ)廠方面,參考長(zhǎng)江存儲(chǔ)

刻蝕設(shè)備招標(biāo)情況,按設(shè)備臺(tái)數(shù)統(tǒng)計(jì)(下同),2018-2020

年來國(guó)產(chǎn)刻蝕機(jī)中標(biāo)比例約在

30%上下,招標(biāo)的國(guó)內(nèi)廠商數(shù)量由

2018

年中微公司

1

家拓展至

2020

年的

4

家。晶圓廠

方面,

2018-2020

年來國(guó)產(chǎn)刻蝕機(jī)中標(biāo)比例約在

50%

上下,其中中微公司的占比由

2018

年的

1.6%快速上升至

2020

年的

28.6%。同時(shí),中微公司的刻蝕設(shè)備已進(jìn)入全球龍頭臺(tái)積電

5nm產(chǎn)線,是臺(tái)積電

5nm產(chǎn)線中唯一一家國(guó)產(chǎn)設(shè)備公司,與全球龍頭刻蝕廠商泛林半導(dǎo)體、應(yīng)用材料、東京電子和日立高新比肩。競(jìng)品對(duì)比:CCP+ICP布局全面,雙臺(tái)式優(yōu)勢(shì)顯著,各指標(biāo)達(dá)國(guó)際先進(jìn)水平公司刻蝕產(chǎn)品線覆蓋

65

納米-5

納米各制程,緊跟頭部客戶迭代研發(fā)。同時(shí)已開發(fā)出

小于

5

納米的產(chǎn)品用于若干關(guān)鍵步驟加工,獲得行業(yè)領(lǐng)先客戶的訂單,目前公司正配合

客戶需求,開發(fā)新一代刻蝕設(shè)備和包括更先進(jìn)大馬士革在內(nèi)的刻蝕工藝,能涵蓋

5

納米

以下的更多刻蝕需求和更多不同關(guān)鍵應(yīng)用的設(shè)備。中微公司是國(guó)內(nèi)唯一實(shí)現(xiàn)

ICP(電感性等離子體刻蝕)與

CCP(電容性等離子體刻

蝕)兩種刻蝕技術(shù)路線的企業(yè)。中微公司

2004

年起開始

CCP刻蝕設(shè)備開發(fā),2007

年前

后實(shí)現(xiàn)產(chǎn)業(yè)化;公司

2012

年起開始

ICP設(shè)備開發(fā),之后已經(jīng)在多家邏輯芯片和存儲(chǔ)芯片

廠商的生產(chǎn)線上量產(chǎn),截至

2020

年底,ICP設(shè)備

PrimoNanova設(shè)備已有

55

個(gè)反應(yīng)臺(tái)

在客戶端運(yùn)轉(zhuǎn),經(jīng)過客戶驗(yàn)證的應(yīng)用數(shù)量也在持續(xù)增加。目前公司正在進(jìn)行下一代產(chǎn)品

的技術(shù)研發(fā)以滿足

7

納米以下邏輯芯片的需求。公司設(shè)備工藝應(yīng)用較為全面,涵蓋鈍化

層、通孔、頂層通孔、有機(jī)掩模層、頂層溝槽等。中微公司獨(dú)創(chuàng)雙臺(tái)式設(shè)計(jì),降本提效優(yōu)勢(shì)顯著。雙反應(yīng)臺(tái)即一個(gè)反應(yīng)腔中有兩個(gè)反

應(yīng)臺(tái),兩個(gè)反應(yīng)臺(tái)有獨(dú)立的射頻功率系統(tǒng),但共用同一套氣體傳輸系統(tǒng)、壓力系統(tǒng)及真

空系統(tǒng)。相較于單反應(yīng)臺(tái),雙反應(yīng)臺(tái)產(chǎn)品的優(yōu)勢(shì)在于單位面積生產(chǎn)效率更高、設(shè)備成本

更低,公司雙反應(yīng)臺(tái)產(chǎn)品獨(dú)特性在于公司擁有獨(dú)特的等離子體約束設(shè)計(jì),同時(shí)還能實(shí)現(xiàn)

獨(dú)立的射頻控制,使得每個(gè)反應(yīng)臺(tái)能實(shí)現(xiàn)相對(duì)獨(dú)立控制,優(yōu)化了設(shè)備的加工效率。配置

雙反應(yīng)臺(tái)的刻蝕設(shè)備能幫助客戶降低成本。中微公司的

PrimoD-RIE、PrimoAD-RIE及

TSV等刻蝕設(shè)備運(yùn)用了雙反應(yīng)臺(tái)高產(chǎn)出率技術(shù),可帶有六個(gè)單獨(dú)的單反應(yīng)臺(tái)的反應(yīng)腔,

也可帶有三個(gè)雙反應(yīng)臺(tái)的反應(yīng)腔。公司刻蝕設(shè)備效率、穩(wěn)定性、均勻性比肩國(guó)際領(lǐng)先水平,已應(yīng)用于臺(tái)積電

5nm芯片

加工。公司電容性、電感性等離子體刻蝕設(shè)備、深硅刻蝕設(shè)備的關(guān)鍵性能參數(shù)均已達(dá)到

國(guó)際同類設(shè)備水平,其中電感等離子體刻蝕設(shè)備的機(jī)臺(tái)占地面積、深硅刻蝕設(shè)備的最大

裝載能力、占地面積均已優(yōu)于國(guó)際同類設(shè)備。中微公司產(chǎn)品線豐富,刻蝕設(shè)備同時(shí)覆蓋

ICP及

CCP兩種技術(shù)路線,單/雙反應(yīng)臺(tái)產(chǎn)品已應(yīng)用于主要系列產(chǎn)品。公司產(chǎn)品覆蓋制程、

反應(yīng)腔數(shù)量等指標(biāo)均達(dá)到或優(yōu)于國(guó)際同類設(shè)備水平,部分產(chǎn)品已應(yīng)用于全球最先進(jìn)的的

生產(chǎn)線上并用于

5nm芯片中若干步驟的加工。堅(jiān)持核心技術(shù)自研,打造堅(jiān)實(shí)專利壁壘。中微公司堅(jiān)持核心技術(shù)自主研發(fā),其雙反

應(yīng)臺(tái)技術(shù)、電極噴淋板技術(shù)等已應(yīng)用于量產(chǎn)設(shè)備中,保證刻蝕設(shè)備生產(chǎn)效率及性能表現(xiàn)

處于行業(yè)領(lǐng)先,同時(shí)已獲得多向?qū)@夹g(shù)壁壘堅(jiān)實(shí)。公司深硅刻蝕設(shè)備(TSV)技術(shù)領(lǐng)先,已進(jìn)入國(guó)際主流

MEMS生產(chǎn)廠。隨著硅通孔

技術(shù)逐漸應(yīng)用于

CMOS圖像傳感器、2.5D、三維芯片和芯片切割等領(lǐng)域,在先進(jìn)封裝應(yīng)

用中成為關(guān)鍵技術(shù),公司于

2010

年推出首款用于高性能硅通孔刻蝕應(yīng)用的高密度等離子

體硅通孔刻蝕設(shè)備

PrimoTSV,可配置多達(dá)三個(gè)雙反應(yīng)臺(tái)的反應(yīng)腔。每個(gè)反應(yīng)腔可同時(shí)

加工兩片晶圓,設(shè)備均可刻蝕孔徑低至

1

微米以下的孔洞,具有工藝協(xié)調(diào)性,可根據(jù)客

戶需求產(chǎn)生不同的刻蝕形狀。目前,公司的深硅刻

蝕設(shè)備的累計(jì)產(chǎn)量和銷量分別為

14

腔、10

腔,已實(shí)現(xiàn)量產(chǎn)并進(jìn)入國(guó)際主流

MEMS廠商。驅(qū)動(dòng)因素:多重曝光等工藝發(fā)展促使刻蝕重要性不斷提升晶圓制程縮進(jìn)采用多重曝光工藝,刻蝕步驟數(shù)與精確度要求大幅增加,對(duì)刻蝕機(jī)數(shù)

量和技術(shù)能力要求提升。隨芯片制程提升,刻蝕設(shè)備精確度要求進(jìn)一步提升。DUV光

波長(zhǎng)度(193nm)限制,20nm以下制程無法直接進(jìn)行一次光刻與刻蝕,而是通過采用多

重曝光工藝,通過多次沉積、刻蝕工藝實(shí)現(xiàn)制程,對(duì)刻蝕的質(zhì)量與步驟數(shù)要求提升。據(jù)

未來智庫(kù),14nm制程刻蝕步驟達(dá)

64

次,較

28nm提升

60%;7nm制程刻蝕步驟達(dá)

140

次,較

14nm提升

118%。同時(shí),芯片線寬縮小與刻蝕步驟重復(fù)對(duì)刻蝕精確度要求更嚴(yán)苛。

隨著工藝演進(jìn),晶圓代工廠中刻蝕設(shè)備占比料將持續(xù)提升。存儲(chǔ)器件結(jié)構(gòu)

3D化要求更高的刻蝕深寬比,同時(shí)驅(qū)動(dòng)刻蝕設(shè)備占比提升。相較

2DNAND,3DNAND增加集成度的主要方法是增加堆疊的層數(shù),刻蝕要在氧化硅和氮化硅

一對(duì)的疊層結(jié)構(gòu)上,加工

40:1

60:1

的極深孔或極深的溝槽。隨著

NAND閃存進(jìn)入

3D時(shí)代,3DNAND層數(shù)的增加要求刻蝕技術(shù)實(shí)現(xiàn)更高的深寬比,刻蝕設(shè)備金額占比亦提升

50%,為

2DNAND的

3

倍以上。中微公司作為國(guó)內(nèi)刻蝕設(shè)備龍頭廠商,顯著受益國(guó)內(nèi)晶圓廠擴(kuò)產(chǎn)+國(guó)產(chǎn)化趨勢(shì)。2013-2020

年全球半導(dǎo)體設(shè)備市場(chǎng)規(guī)模

CAGR12.21%,中國(guó)大陸半導(dǎo)體設(shè)備市場(chǎng)規(guī)模

CAGR27.70%,增速遠(yuǎn)高于海外。在美國(guó)對(duì)華為、中芯國(guó)際等企業(yè)施加制裁后,國(guó)內(nèi)晶圓廠出于供應(yīng)鏈安全考慮,加快國(guó)產(chǎn)設(shè)備、材料、零部件的驗(yàn)證工作。以晶圓廠招投標(biāo)數(shù)據(jù)為例,2018-2020

年,在長(zhǎng)江存儲(chǔ)的刻蝕機(jī)招標(biāo)中,

中微公司設(shè)備數(shù)量位列第二(14.2%),僅次于美國(guó)泛林。截至

2021

1

月底,在華力

集成的刻蝕機(jī)招標(biāo)中,中微公司設(shè)備數(shù)量位列第三(17%),僅次于泛林半導(dǎo)體和東京電

子。未來布局:擴(kuò)產(chǎn)能、強(qiáng)研發(fā),持續(xù)提升公司市場(chǎng)地位和盈利水平產(chǎn)能方面,通過定增突破產(chǎn)能瓶頸,2026

年達(dá)產(chǎn)后產(chǎn)能較

2020

年提升

6

倍。公司

2019

年刻蝕產(chǎn)品產(chǎn)能利用率達(dá)

110%以上,2020

1-9

月高達(dá)

116.78%,處于超負(fù)荷狀

態(tài),公司通過實(shí)施定增募集資金建設(shè)臨港產(chǎn)業(yè)化基地,計(jì)劃擴(kuò)充刻蝕設(shè)備產(chǎn)能

630

腔/年,

公司預(yù)計(jì)

2026

年全部達(dá)產(chǎn)后產(chǎn)能較

2020

年提升

6

倍。技術(shù)方面,通過研發(fā)實(shí)現(xiàn)產(chǎn)品線擴(kuò)張,強(qiáng)化產(chǎn)品的市場(chǎng)競(jìng)爭(zhēng)力與公司的抗周期能力。公司通過建設(shè)中微臨港總部和研發(fā)中心項(xiàng)目,在

CCP、ICP領(lǐng)域,面向

7nm及以下邏輯芯片、17nm及以下

DRAM芯片、128

層及以上的

3DNAND存儲(chǔ)芯片、部分

14nm及以

下邏輯芯片乃至

3nm及以下的高精度邏輯芯片等細(xì)分市場(chǎng),匹配其先進(jìn)制程及工藝需求

開發(fā)下一代刻蝕設(shè)備,并在大馬士革刻蝕、ALE原子刻蝕等國(guó)內(nèi)尚處空白的領(lǐng)域?qū)で笸黄疲瑧{自身產(chǎn)品性能、成本優(yōu)勢(shì)并加碼技術(shù)研發(fā),不斷提升產(chǎn)品競(jìng)爭(zhēng)力,在細(xì)分市場(chǎng)加速追趕領(lǐng)先大廠。若按照本次定增擴(kuò)產(chǎn)計(jì)劃測(cè)算,假設(shè)新增產(chǎn)能在

2030

年產(chǎn)能滿載,且暫不考慮未來

潛在新增擴(kuò)產(chǎn),預(yù)計(jì)

2030

年刻蝕設(shè)備收入達(dá)

77.52

億元。本次定增募投項(xiàng)目將于

2025

年建設(shè)完成,建成后總體產(chǎn)能及

2026-2030

年產(chǎn)能利用率預(yù)計(jì)如下,假設(shè)公司產(chǎn)銷率為

100%,并且隨技術(shù)升級(jí)、新品推出,刻蝕設(shè)備單腔平均售價(jià)維持在

800

萬元,則測(cè)算得公司

2030

年刻蝕設(shè)備領(lǐng)域營(yíng)收將達(dá)

77.52

億元,2026-2030

CAGR為

10.0%。2020

年公司刻蝕設(shè)備收入為

12.89

億元,按此計(jì)算

2020~2030

CAGR預(yù)

計(jì)為

19.7%。四、CVD設(shè)備:MOCVD全球第三,未來打開更大市場(chǎng)市場(chǎng)格局:中微公司打破海外大廠壟斷1、薄膜沉積設(shè)備:2020

年市場(chǎng)規(guī)模超

170

億美元,設(shè)備工藝類型眾多行業(yè)概覽:市場(chǎng)規(guī)模超

170

億美元,設(shè)備工藝類型眾多,廣泛用于集成電路前道制

造。薄膜沉積是指半導(dǎo)體制造中任何在硅片襯底上沉積一層膜的工藝,這層膜可以是導(dǎo)

體、絕緣物質(zhì)或者半導(dǎo)體材料。在半導(dǎo)體行業(yè)下游需求增長(zhǎng)以及技術(shù)變革的推動(dòng)下,全

球薄膜沉積設(shè)備行業(yè)持續(xù)穩(wěn)定發(fā)展。

2020

年全球半導(dǎo)體薄膜沉積設(shè)備市場(chǎng)規(guī)模約

172

億美元,同比+11%,該機(jī)構(gòu)預(yù)計(jì)

2025

年升至

340

億美元,2020-2025

CAGR約

14.6%。

CVD設(shè)備(PECVD、

管式

CVD、非管式

LPCVD、MOCVD等)在其中約占

66%,其中

PECVD設(shè)備占比最高,為整體薄膜沉積設(shè)備市場(chǎng)的

33%;ALD設(shè)備占比

11%;PVD設(shè)備(濺射

PVD、電鍍

ECD)約占

23%。從集成電路前道制造工藝流程來看,薄膜沉積設(shè)備在多個(gè)環(huán)節(jié)使用,

是生產(chǎn)工藝中的核心設(shè)備之一。市場(chǎng)格局:國(guó)際巨頭寡頭壟斷,國(guó)產(chǎn)替代空間廣闊,國(guó)內(nèi)沈陽(yáng)拓荊、北方華創(chuàng)領(lǐng)先。薄膜設(shè)備具有較高的技術(shù)門檻,行業(yè)龍頭企業(yè)通過多年的技術(shù)積累和規(guī)模效應(yīng),已經(jīng)建

立了較高的行業(yè)壁壘。全球半導(dǎo)體薄膜沉積設(shè)備市場(chǎng)高度集中,目前呈國(guó)外供應(yīng)商寡頭

壟斷格局。

2019

年,在全球

CVD設(shè)備市場(chǎng)中,應(yīng)用材料/泛林半導(dǎo)

體/東京電子分列前三,份額為

30%/21%/19%,CR3

高達(dá)

70%;在

ALD設(shè)備市場(chǎng)中,

龍頭東京電子/先晶半導(dǎo)體份額分別為

31%/29%;在

PVD設(shè)備市場(chǎng)中,應(yīng)用材料處于壟斷地位,占比高達(dá)

85%。聚焦于中國(guó)市場(chǎng),

2020

年我國(guó)薄膜沉積設(shè)備存有量中約

98%為進(jìn)口設(shè)備,國(guó)產(chǎn)設(shè)備僅占

2%。沈陽(yáng)拓荊、

北方華創(chuàng)為我國(guó)領(lǐng)先的薄膜沉積設(shè)備廠商,商用設(shè)備中已掌握最高制程為

14nm,并且應(yīng)

用于長(zhǎng)江存儲(chǔ)等下游客戶。根據(jù)中國(guó)國(guó)際招標(biāo)網(wǎng)統(tǒng)計(jì),長(zhǎng)江存儲(chǔ)

2018-20

年分別招標(biāo)

38/218/242

臺(tái)薄膜沉積設(shè)備

,

中國(guó)內(nèi)廠商分別合計(jì)中標(biāo)

2/5/7,

5.26%/2.29%/2.89%,目前薄膜沉積設(shè)備仍以海外進(jìn)口設(shè)備為主,國(guó)產(chǎn)替代空間廣闊。2、MOCVD設(shè)備:2020

8

億多美金市場(chǎng),Mini/MicroLED、功率器件等

帶來增量MOCVD設(shè)備全球市場(chǎng)

2020

年約

8.40

億美元,主要應(yīng)用于

LED、功率器件等外延

片的生產(chǎn)環(huán)節(jié)。LED應(yīng)用中,藍(lán)綠光

LED的外延材料為氮化鎵(GaN),紅黃光

LED的

外延材料為砷化鎵(GaAs),藍(lán)綠光芯片成本較低,直接針對(duì)照明市場(chǎng)(LED芯片最大

下游),因而為

LED芯片主流,而直顯

RGBLED對(duì)藍(lán)綠光與紅光需求約為

2:1;未來

LED照明市場(chǎng)規(guī)模趨穩(wěn),Mini/MicroLED顯示拉動(dòng)芯片需求,料紅黃光芯片需求增速將

大于藍(lán)綠光芯片。功率器件中,外延材料在低壓(通常指

650V以下)領(lǐng)域?yàn)?/p>

GaN,高

壓領(lǐng)域?yàn)樘蓟瑁⊿iC)。MOCVD設(shè)備市場(chǎng):年均復(fù)合增速料將達(dá)約

8.5%,亞太地區(qū)增速最快。伴隨電子電力、Mini-LED等領(lǐng)域?qū)?/p>

MOCVD設(shè)備的需求提升,全球

MOCVD設(shè)備市場(chǎng)規(guī)模有望從

2020

年的

8.40

億美元增長(zhǎng)至

2028

年的

16.38

億美元,年

均復(fù)合增速將達(dá)約

8.5%。按品類劃分看,III-V族化合物半導(dǎo)體復(fù)合增速最高,預(yù)計(jì)為

9.50%;按應(yīng)用劃分看,光電子增速高于電子電力,預(yù)計(jì)復(fù)合增速

9.20%;按地區(qū)劃分看,

亞太地區(qū)市場(chǎng)規(guī)模增速最快,為

9.70%。中微公司打破

MOCVD領(lǐng)域國(guó)際大廠壟斷格局,份額快速成長(zhǎng)至全球前三。MOCVD行業(yè)集中度高,2020

CR3

份額近

100%。2010

年以前,MOCVD設(shè)備市場(chǎng)主要由愛思強(qiáng)、維易科等國(guó)際企業(yè)占據(jù),呈寡頭壟斷格局,而后由于日本頒布出口禁令,

大陽(yáng)日酸市場(chǎng)份額急跌,CR2

一度超

90%。而后中微公司進(jìn)軍

MOCVD市場(chǎng),2012

首臺(tái)

MOCVD研發(fā)成功,2017

年公司推出技術(shù)含量更高的

PrismoA7

型號(hào)

MOCVD設(shè)備,

逐步打破國(guó)外大廠壟斷局面。

2018

年下半年起公司的

MOCVD占據(jù)

了全球新增

GaN基

LEDMOCVD設(shè)備市場(chǎng)的

60%以上,細(xì)分領(lǐng)域市占率全球第一;公

司打破了愛思強(qiáng)、維易科等海外廠商的壟斷格局,2019

年市占率

28%,位列全球第二。

GaN基

LEDMOCVD設(shè)備市場(chǎng),2020

年公司市占率超過

50%。競(jìng)品對(duì)比:更有利于批量生產(chǎn)降本提效,扎根國(guó)內(nèi)具備天然優(yōu)勢(shì)產(chǎn)品矩陣:適配藍(lán)綠光

LED/

miniLED外延片批量生產(chǎn),高階特殊應(yīng)用不斷追趕。

在藍(lán)綠光

LED外延片領(lǐng)域,公司第二代

PrismoA7

產(chǎn)品較愛思強(qiáng)、維易科公司產(chǎn)品能夠

支持更多的襯底尺寸類型,并且具備更高的產(chǎn)能,更適用于批量生產(chǎn),第三代產(chǎn)品將采

31

英寸反應(yīng)器,更好滿足客戶需求并協(xié)助客戶降本提效;在

miniLED外延片領(lǐng)域,

公司

PrismoUnimax通過配備

785mm大直徑石墨托盤,可支持

164*4"/72*6"產(chǎn)能,優(yōu)于競(jìng)爭(zhēng)對(duì)手。在其他高階特殊應(yīng)用領(lǐng)域,公司仍在不斷追趕頭部企業(yè),已通過

PrismoHiT3

產(chǎn)品布局深紫外

LED外延片,而愛思強(qiáng)、維易科在功率器件、光電器件領(lǐng)域已推出產(chǎn)品,

并且維易科產(chǎn)品可涉足

5G射頻、VCSELS、邊發(fā)射激光器等。服務(wù)對(duì)比:提供全球化服務(wù),在

LED芯片大國(guó)(中國(guó)大陸)市場(chǎng)具備天然優(yōu)勢(shì)。中國(guó)大陸是全球

LED芯片的主要產(chǎn)地,2018

年在全球市場(chǎng)中占據(jù)

67%的份額,其次為中國(guó)臺(tái)灣,占比

25%。從中國(guó)市場(chǎng)來看,LED上游(外延片、芯片)

產(chǎn)業(yè)中三安光電、華燦光電等企業(yè)較為領(lǐng)先,產(chǎn)業(yè)聚集在廈門、湖北、江蘇等地區(qū)。中微公司已形成了全球化服務(wù)布局,總部位于中國(guó),并且在南昌設(shè)立產(chǎn)業(yè)基地進(jìn)行

MOCVD設(shè)備的研發(fā)、設(shè)計(jì)、生產(chǎn)和銷售,

生產(chǎn)和服務(wù)更加貼近下游廠商,有地緣優(yōu)勢(shì)??蛻糍Y源:聚焦亞洲市場(chǎng),覆蓋三安光電、華燦光電、乾照光電等頭部企業(yè)。公司首臺(tái)

MOCVD設(shè)備

PrismoD-Blue于

2013

年推出,此后陸續(xù)推出

PrismoA7、PrismoHiT3、PrismoUnimax產(chǎn)品,高性價(jià)比優(yōu)勢(shì)助力下游客戶在藍(lán)綠光

LED外延片生產(chǎn)上降

本提效,同時(shí)支持開展深紫外

LED、miniLED等領(lǐng)域生產(chǎn),已獲得客戶廣泛使用。目前

公司

MOCVD設(shè)備產(chǎn)品已覆蓋亞洲多家頭部

LED企業(yè),包括三安光電、華燦光電、乾照

光電、璨揚(yáng)光電、兆馳股份、三星等。業(yè)績(jī)拐點(diǎn):MiniLED、功率器件等應(yīng)用有望帶動(dòng)

MOCVD設(shè)備業(yè)務(wù)重回

增長(zhǎng)中微公司

MOCVD設(shè)備業(yè)務(wù)過去主要應(yīng)用于照明

LED市場(chǎng),由于過去幾年照明

LED產(chǎn)能趨向于飽和,公司

MOCVD設(shè)備業(yè)務(wù)收入在

2018~2020

年期間經(jīng)歷了下滑,

2021

年中微公司的

MOCVD設(shè)備業(yè)務(wù)將迎來拐點(diǎn),隨著

Mini/MicroLED市場(chǎng)

的興起、公司功率器件新品的推出,該業(yè)務(wù)有望重回增長(zhǎng)。驅(qū)動(dòng)因素一:MiniLED加速商用,中微發(fā)布用于

MiniLED的

MOCVD設(shè)備

PrismoUnimax,性能優(yōu)異比肩

AIXTRON。以

AIXTRON的

Mini/Micro用

MOCVD備

AIXTRON2800G4-TM(IC2)作為競(jìng)品,公司產(chǎn)品優(yōu)勢(shì)突出:(1)通過大直徑及四反應(yīng)

腔配置倍增產(chǎn)能效率。公司采用

31”大直徑托盤,同樣排布方式下

6”外延片可放置

10

片/

托盤,大于競(jìng)品

8

片/托盤;通過優(yōu)化排布方式,公司產(chǎn)品單托盤內(nèi)

4”外延片可排布

27

片/托盤,遠(yuǎn)大于競(jìng)品的

15

片/托盤。通過石墨盤的調(diào)整,還可擴(kuò)展至單托盤加工

4”外延41

片/爐次。此外,公司設(shè)備配置四反應(yīng)腔,使得可同時(shí)加工的外延晶片數(shù)倍增,且采

用可獨(dú)立控制的反應(yīng)腔運(yùn)行模式,提升效率的同時(shí)具備卓越的生產(chǎn)靈活性。(2)優(yōu)化設(shè)計(jì)使波長(zhǎng)均勻性及缺陷控制表現(xiàn)優(yōu)異。通過優(yōu)化加熱系統(tǒng)和晶片載體設(shè)計(jì),公司在

4”和

6”PSS襯底上生長(zhǎng)的藍(lán)色和綠色

LED實(shí)現(xiàn)了優(yōu)異的

LED波長(zhǎng)均勻性,在發(fā)射波長(zhǎng)為

458nm的

4

PSS襯底上

生長(zhǎng)的藍(lán)

LED,其片

內(nèi)

/片間均勻性分別達(dá)到

0.66/0.54nm,比肩競(jìng)品均勻性

0.6nm的水平。公司跟隨頭部

LED芯片廠商開拓

MiniLED市場(chǎng)。龍頭廠商紛紛憑借技術(shù)和規(guī)模優(yōu)勢(shì)向

Mini/

Micro等高端領(lǐng)域布局。據(jù)

LEDinside官網(wǎng),2020

年各廠商相關(guān)投資總額

達(dá)

252

億元,全年超

10

億級(jí)項(xiàng)目達(dá)

12

個(gè)。目前晶電、三安、華燦等多家廠商已實(shí)現(xiàn)量

產(chǎn),2021

4

月正式投產(chǎn)的湖北三安

Mini/MicroLED芯片生產(chǎn)線,總投資

120

億元,其

中藍(lán)綠光

Mini/MicroLED4

吋片

GaN芯片規(guī)劃年產(chǎn)

161

萬片,對(duì)應(yīng)

MOCVD設(shè)備約

27

腔。此前公司設(shè)備已出貨三安、兆馳等頭部芯片廠商,21

年新發(fā)布聚焦

Mini應(yīng)用的

PrismoUnimax設(shè)備,有望隨客戶布局及產(chǎn)線投建而持續(xù)出貨提升市占率。驅(qū)動(dòng)因素二:中微公司布局研發(fā)功率器件

MOCVD設(shè)備,帶來增量市場(chǎng)空間。公司通過定增募投項(xiàng)目加碼寬禁帶功率器件外延生長(zhǎng)設(shè)備的研發(fā),針對(duì)下一代硅基

GaN、SiC功率半導(dǎo)體應(yīng)用進(jìn)行

MOCVD技術(shù)和平臺(tái)的研發(fā)。SiC和

GaN均為目前重要的功率器件

外延材料,兩類材料的外延片過程相似,其中

SiC主要用于高壓器件,外延厚度更厚,

摻雜濃度的均勻性、缺陷控制的難度要求高于

GaN。公司在此前

IPO募投項(xiàng)目中已布局

硅基

GaN功率器件外延設(shè)備的研發(fā),在本次定增中布局

SiC功率器件外延設(shè)備的研發(fā),

有望打開增量市場(chǎng)空間。驅(qū)動(dòng)因素三:公司未來有望拓展深紫外、紅黃光等其他

LED市場(chǎng)。公司于

2021

7

月完成定向增發(fā)募集資金,其中

3

億元用于與合作伙伴協(xié)同開發(fā)紅黃光

MOCVD設(shè)備。

此外,公司用于深紫外光

LED的

MOCVD設(shè)備已在行業(yè)領(lǐng)先客戶端驗(yàn)證成功,有望受益

UVLED下游需求景氣持續(xù)出貨。用于紅黃光

LED的

MOCVD設(shè)備需支持

GaAs襯底,復(fù)雜工藝高難度有望提升

MOCVD價(jià)值量。紅黃光

LED芯片通常是在

GaAs的基板上進(jìn)行外延生長(zhǎng),其結(jié)構(gòu)是電

極分布在襯底上下兩側(cè)的垂直結(jié)構(gòu)或反極性結(jié)構(gòu),生產(chǎn)工藝包括蒸鍍、光刻、減薄、合

金、刀片切割、單電極;而藍(lán)綠光

LED是在藍(lán)寶石及

SiC基板上進(jìn)行外延生產(chǎn),其結(jié)構(gòu)

是電極分布在襯底上下兩側(cè)的垂直結(jié)構(gòu),生產(chǎn)工藝包括蒸鍍、光刻、減薄、合金、激光

切割、雙電極。且紅黃光

LED所采用的外延材料與襯底之間的晶格匹配度較高,對(duì)材料

缺陷更加敏感,故因此用于紅黃光

LED的

MOCVD設(shè)備需要支持

GaAs襯底及相應(yīng)的單

電極技術(shù),還需要滿足更高的外延材料質(zhì)量要求。紅外

LED常用的襯底與紅黃光

LED相

近,生產(chǎn)工藝同樣較為復(fù)雜。紅黃光

LED下游廠商積極布局,有望帶動(dòng)設(shè)備需求。隨著下游顯示屏、紅外安

防、電子設(shè)備指示燈、交通指示燈、夜景工程、車載照明等眾多領(lǐng)域新需求,紅黃光LED及紅外

LED市場(chǎng)空間增速較快,其中

2020

年紅外

LED市場(chǎng)規(guī)模預(yù)計(jì)為

7.2

億美元,

2016-2020

CAGR為

24%。目前設(shè)備主要由

AIXTRON和

Veeco兩家國(guó)外企業(yè)主導(dǎo),代表產(chǎn)品是

AIXTRON的

AIX2800G4-TM和

Veeco的

Lumina系列,其客戶包括三安光電、乾照光電,乾照光電擁有紅黃光

MOCVD共

42

腔,

三安光電也在

2018

年下單購(gòu)買

AIXTRON設(shè)備

AIX2800G4-TM加速布局紅黃光

LED市

場(chǎng)。乾照光電、三安光電等下游廠商持續(xù)布局,公司定增加碼紅黃光

MOCVD設(shè)備研發(fā),

未來有望受益下游廠商擴(kuò)產(chǎn)。未來布局:與沈陽(yáng)拓荊協(xié)作,拓展

IC領(lǐng)域

CVD/ALD等薄膜沉積設(shè)備公司聚焦:面向

IC生產(chǎn)中薄膜沉積需求,延伸布局

HPCVD、LPCVD、ALD等設(shè)

備。公司

2020

年定增募集資金將部分投向中微臨港總部和研發(fā)中心的

7

項(xiàng)新產(chǎn)品研發(fā)項(xiàng)

目,其中包括

HPCVD等設(shè)備的研發(fā)及應(yīng)用,該項(xiàng)目面向集成電路工藝中沉積工藝需求,

開發(fā)

HPCVD、導(dǎo)體薄膜的

LPCVD低壓熱化學(xué)設(shè)備和

ALD原子層沉積設(shè)備,硅和鍺硅

EPI單晶生長(zhǎng)設(shè)備等。該項(xiàng)目研發(fā)上由公司副總裁級(jí)主管人員牽頭主持,具備多年的

MOCVD設(shè)備經(jīng)驗(yàn);技術(shù)儲(chǔ)備上已擁有“半導(dǎo)體工藝件處理裝置”等近

10

項(xiàng)專利,公司

預(yù)計(jì)

2024

12

月實(shí)現(xiàn)設(shè)備商用。公司優(yōu)勢(shì)一:戰(zhàn)略入股沈陽(yáng)拓荊,合作共促業(yè)務(wù)發(fā)展。沈陽(yáng)拓荊成立于

2010

年,專

注于邏輯電路制造環(huán)節(jié)中薄膜沉積設(shè)備的研發(fā)、生產(chǎn)和銷售,在

PECVD等離子體加強(qiáng)的

化學(xué)薄膜設(shè)備和

ALD原子層化學(xué)沉積設(shè)備上有多年的開發(fā)歷史,中微于

2016

年參股沈陽(yáng)拓荊。公司目前(截至

2021

8

19

日)持有

11.2%股份,中微

公司董事長(zhǎng)、總經(jīng)理尹志堯先生及董事楊征帆先生擔(dān)任沈陽(yáng)拓荊董事,雙方在業(yè)務(wù)上展

開緊密合作。具體合作形式如下:中微公司向沈陽(yáng)拓荊:1)采購(gòu)薄膜加工業(yè)務(wù):根據(jù)芯片制造

的工藝流程,刻蝕工序需要在沉積好的薄膜之上進(jìn)行,為驗(yàn)證測(cè)試刻蝕工藝,中微公司

向沈陽(yáng)拓荊提供晶圓,沈陽(yáng)拓荊根據(jù)要求在晶圓上沉積特定材料的薄膜后送還中微公司,

收取服務(wù)費(fèi)。此外,中微公司于

2019

年向沈陽(yáng)拓荊購(gòu)買一臺(tái)單腔

PECVD設(shè)備,自行加工薄膜用于刻蝕設(shè)備研發(fā)測(cè)試;2)提供中國(guó)臺(tái)灣地區(qū)代銷服務(wù):沈陽(yáng)拓荊目前員工主要分布在中國(guó)大陸,中微公司下屬的新加坡商中微公司設(shè)備股份有限公司分公司作為合作方,由其負(fù)責(zé)維護(hù)沈陽(yáng)拓荊中國(guó)臺(tái)灣地區(qū)客戶關(guān)系、提供場(chǎng)所和售后服務(wù)等工作。3)

預(yù)計(jì)將合作開發(fā)

PECVD等設(shè)備:根據(jù)中微公司

2020公告,公司將在未來五年內(nèi)

投資

3

億元與國(guó)內(nèi)設(shè)備公司合作研發(fā)

PECVD等化學(xué)薄膜設(shè)備,預(yù)計(jì)公司將與沈陽(yáng)拓

荊展開深度合作。沈陽(yáng)拓荊向中微公司:1)采購(gòu)零部件:沈陽(yáng)拓荊向中微公司零星采購(gòu)零部件,

包括節(jié)流閥、物料升降機(jī)小工具、碳鋼制螺釘?shù)?,主要用于研發(fā)活動(dòng)。2)采購(gòu)軟件許可

權(quán):沈陽(yáng)拓荊使用中微公司的

300

毫米

CVD系統(tǒng)控制軟件源代碼參考包和工廠自動(dòng)化軟

件包進(jìn)行軟件開發(fā),并應(yīng)用于公司生產(chǎn)的設(shè)備。雙方在業(yè)務(wù)上共同合作,協(xié)同效應(yīng)逐步顯現(xiàn)。公司優(yōu)勢(shì)二:具備等離子刻蝕、MOCVD設(shè)備研發(fā)經(jīng)驗(yàn),可延伸應(yīng)用到

CVD設(shè)備。化學(xué)氣相沉積(CVD)可劃分為高溫化學(xué)氣相沉積(HTCVD)、中

溫化學(xué)氣相沉積(MTCVD)、等離子體增強(qiáng)化學(xué)氣相淀積(PECVD)、常壓化學(xué)氣相淀

積(APCVD)、金屬有機(jī)化學(xué)氣相沉積系統(tǒng)(MOCVD)等。公司已具備等離子刻蝕(包

ICP型和

CCP型)設(shè)備的量產(chǎn)能力,擁有豐富的研發(fā)經(jīng)驗(yàn)和技術(shù)儲(chǔ)備,有利于公司在

PECVD設(shè)備的研發(fā)及應(yīng)用。另一方面,公司在

LED芯片用

MOCVD設(shè)備上同樣有著多

年的技術(shù)積累,能夠延伸應(yīng)用到集成電路用

CVD設(shè)備的開發(fā)。五、展望未來:內(nèi)生外延,打造泛半導(dǎo)體平臺(tái)型設(shè)備企業(yè)平臺(tái)型規(guī)劃:見賢思齊,國(guó)際龍頭多以刻蝕、CVD起步,內(nèi)生外延發(fā)展他山之石:研發(fā)創(chuàng)新+收購(gòu)擴(kuò)張鋪就海外龍頭發(fā)展之路??傮w來看,泛半導(dǎo)體設(shè)備市

場(chǎng)多呈海外大廠寡頭壟斷格局,龍頭企業(yè)應(yīng)用材料(AMAT)、阿斯麥(ASML)、泛林

(LamResearch)及東京電子(TEL)的成長(zhǎng)均由自主研發(fā)創(chuàng)新建立技術(shù)壁壘,并逐步

通過收購(gòu)擴(kuò)張拓展品類、建立協(xié)同、打造平臺(tái)、鞏固優(yōu)勢(shì)、提升份額。VLSI統(tǒng)計(jì)的

2020

年?duì)I收規(guī)模全球前

10

的半導(dǎo)體設(shè)備廠商半數(shù)布局了刻蝕設(shè)備領(lǐng)域,半導(dǎo)體設(shè)備領(lǐng)域前五

廠商中,應(yīng)用材料、泛林半導(dǎo)體、東京電子均為刻蝕設(shè)備頭部廠商。以

AMAT成長(zhǎng)史來看:1)自主研發(fā)創(chuàng)新推出核心產(chǎn)品,技術(shù)領(lǐng)先行業(yè)壁壘堅(jiān)

實(shí)。AMAT成立以來堅(jiān)持研發(fā)創(chuàng)新,歷年研發(fā)費(fèi)用率均值超

15%,推動(dòng)技術(shù)創(chuàng)新、產(chǎn)品

迭代,保持全球領(lǐng)先,研發(fā)創(chuàng)新可分為四個(gè)時(shí)期。2)對(duì)外收購(gòu)?fù)卣蛊奉悾由爝M(jìn)軍泛半

導(dǎo)體領(lǐng)域,成為平臺(tái)型設(shè)備龍頭。公司通過外延并購(gòu),布局半導(dǎo)體制造(如前道量測(cè))

設(shè)備、光伏電池制造設(shè)備等,拓展品類形成協(xié)同,大幅提升營(yíng)收體量及產(chǎn)業(yè)鏈地位,根據(jù)收購(gòu)的活躍程度可分為三階段。以

Lam成長(zhǎng)史來看:刻蝕起家,內(nèi)生加并購(gòu)成為半導(dǎo)體設(shè)備龍頭。公司自

1980

年成立以來,刻蝕設(shè)備起家并在該領(lǐng)域不斷推陳出新,引領(lǐng)行業(yè)發(fā)展,涵蓋

CCP/ICP/原子層不同原理設(shè)備,并可廣泛用于氧化物刻蝕、深硅刻蝕等領(lǐng)域;同時(shí),

Lam通過自研+收購(gòu),不斷拓展

CVD設(shè)備、清洗機(jī)、檢測(cè)、去膠等領(lǐng)域,與已有基石業(yè)

務(wù)產(chǎn)生協(xié)同,逐步成長(zhǎng)為半導(dǎo)體設(shè)備龍頭。截至目前,中微公司已開發(fā)近

20

種型號(hào)的刻

蝕設(shè)備,覆蓋介質(zhì)刻蝕、硅刻蝕、金屬刻蝕三大領(lǐng)域,未來有望參考

Lam成長(zhǎng)之路拓展

布局,打造半導(dǎo)體設(shè)備平臺(tái)公司。TEL成長(zhǎng)史來看:引進(jìn)技術(shù)開啟成長(zhǎng),產(chǎn)業(yè)轉(zhuǎn)移助力登頂。TEL成立之初主營(yíng)

為擴(kuò)散爐等設(shè)備銷售,20

世紀(jì)

70

年代在集成電路制造由美國(guó)向日本轉(zhuǎn)移趨勢(shì)下,TEL與擴(kuò)散爐生產(chǎn)商美國(guó)

Thermco成立合資公司,逐步完成擴(kuò)散爐在日本的國(guó)產(chǎn)化;而后在

日本產(chǎn)業(yè)政策的扶持下,逐步拓展氧化爐、測(cè)試設(shè)備、清洗設(shè)備、CVD設(shè)備等產(chǎn)品,出

口量快速提升,1986

年在半導(dǎo)體設(shè)備制造商中銷售額登頂。此后,隨第二次產(chǎn)業(yè)轉(zhuǎn)移日

本半導(dǎo)體行業(yè)整體走向衰落,TEL市場(chǎng)地位亦有所下滑,2020

年設(shè)備廠商市場(chǎng)份額為

12

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論