版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、中北大學(xué)課 程 設(shè) 計 說 明 書 學(xué)生姓名:楊澤政學(xué) 號:0806024119 學(xué)院: 電子與計算機科學(xué)技術(shù)學(xué)院專業(yè):微電子學(xué) 題目:利用撥碼開關(guān)控制米字管進行十進制數(shù)字顯示 指導(dǎo)教師:王紅亮 段俊萍職稱:講師 2011 年 6 月 24 日目 錄1、課程設(shè)計目的22、課程設(shè)計內(nèi)容及要求22.1、設(shè)計內(nèi)容22.2、設(shè)計要求23、設(shè)計方案及實現(xiàn)情況.23.1、設(shè)計思路23.2、工作原理及框圖23.3、各模塊功能描述43.4、仿真結(jié)果63.5、試驗箱驗證
2、情況74、課程設(shè)計總結(jié)95、參考文獻111、課程設(shè)計目的 1.學(xué)習(xí)操作數(shù)字電路設(shè)計實驗開發(fā)系統(tǒng),掌握米字管的工作原理及應(yīng)用。2.掌握組合邏輯電路、時序邏輯電路的設(shè)計方法。3.學(xué)習(xí)掌握可編程器件設(shè)計的全過程。2、課程設(shè)計內(nèi)容和要求2.1、設(shè)計內(nèi)容用VHDL語言編寫程序,利用撥碼開關(guān)控制米字管進行十進制數(shù)字顯示。2.2、設(shè)計要求1學(xué)習(xí)掌握撥碼開關(guān)控制模塊、米字管的工作原理及應(yīng)用;2. 熟練掌握VHDL編程語言,編寫撥碼開關(guān)控制模塊的控制邏輯;3. 仿真所編寫的程序,模擬驗證所編寫的模塊功能;4. 下載程序到芯片中,硬件驗證所設(shè)置的功能,能夠?qū)崿F(xiàn)十進制數(shù)字的顯示;5. 整理設(shè)計內(nèi)容,編寫設(shè)計說明書。
3、 3、 設(shè)計方案及實現(xiàn)情況3.1、設(shè)計思路采用模塊化的設(shè)計思想,本實驗結(jié)果的實現(xiàn)只需要兩個模塊就可以了。首先是撥碼開關(guān)的輸入模塊,然后是米字管的顯示模塊。工作過程如下:首先輸入一組開關(guān)信息,在撥碼開關(guān)輸入模塊中進行一次信息存儲,然后在米字管的顯示模塊中進行一次譯碼,使得米字管進行十進制數(shù)顯示。3.2、工作原理及框圖(1)撥碼開關(guān)工作原理撥碼開關(guān)其實就是一個高低電平輸出器件,往上撥為高電平,往下?lián)転榈碗娖健?2)米字管的工作原理實驗箱上的米字管是共陰極接法,當(dāng)接的是高電平時,對應(yīng)的數(shù)字段就會發(fā)光。它除了能顯示0-9十個數(shù)字外,還可以組合顯示“ ”、“ ”、“×”、“
4、÷”以及英文字母A-Z26個字母等。每個數(shù)字段字母順序如圖1所示:圖1 米字管顯示原理圖下面是顯示十進制數(shù)字與對應(yīng)字母輸入高低電平的表格:表1 十進制數(shù)字與對應(yīng)字母輸入高低電平表格顯示ABCDEFGHIJKLMNOP01111111100000000100110000000000002111011101000100031111110010001000400110001100010005110111011000100061101111110001000711110000000000008111111111000100091111110110001000(3)系統(tǒng)框圖:圖2系統(tǒng)框圖3.3
5、、各模塊功能描述(1)BOMA模塊:功能就是記住撥碼開關(guān)的輸入信息,通過譯碼使得每個開關(guān)控制一位十進制數(shù)的輸出。詳細(xì)代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;use ieee.std_logic_arith.all;ENTITY BOMA ISport(k : in std_logic_vector(9 downto 0);q : out std_logic_vector(3 downto 0);end BOMA;ARCHITECTURE boma_r OF boma ISBEGI
6、Nprocess(k)begincase k iswhen "0000000001"=>q<="0000"when "0000000010"=>q<="0001"when "0000000100"=>q<="0010"when "0000001000"=>q<="0011"when "0000010000"=>q<="0100"when
7、"0000100000"=>q<="0101"when "0001000000"=>q<="0110"when "0010000000"=>q<="0111"when "0100000000"=>q<="1000"when "1000000000"=>q<="1001"when others=>q<="1111&qu
8、ot;end case;end process;end boma_r;(2)顯示mizi模塊:功能就是就是把撥碼開關(guān)的輸入狀態(tài)通過米字管顯示出來,從而實現(xiàn)撥碼開關(guān)控制米字管的十進制顯示。(3)顯示擴展:用一個control信號控制米子管顯示,當(dāng)control為高電平時,實現(xiàn)撥碼開關(guān)控制米子管十進制顯示;當(dāng)control為低電平時,動態(tài)顯示十進制數(shù)(0-9)。詳細(xì)代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY MIZI ISport( control,clk:in std_L
9、ogic;s : in std_logic_vector(3 downto 0);q :out std_logic_vector(16 downto 0);end mizi;ARCHITECTURE mizi_r OF mizi IS signal uclk :std_logic;signal s0,s1,s2,s3,s4,s5,s6,s7,s8,s9: std_logic_vector(16 downto 0);signal a: std_logic_vector(3 downto 0);signal i: integer range 0 to 4000000;BEGINs1<=&qu
10、ot;00000000001000010" -1s4<="00000001101010010" -4-分頻process(clk)begin if clk'event and clk='1' thenif i=4000000 theni<=0;uclk<=not uclk;else i<=i+1;end if;end if;end process;-循環(huán)顯示控制process(uclk)beginif uclk'event and uclk='1' thenif a="1001&qu
11、ot; thena<="0000"else a<=a+1;end if;end if;end process;-主顯示process(control,s,a,uclk)begincase control iswhen '1'=>case s iswhen "0000"=>q<=s0; -0when "0001"=>q<=s1; -1when "0010"=>q<=s2; -2when "0011"=>q<=s3;
12、-3when "0100"=>q<=s4; -4when "0101"=>q<=s5; -5when "0110"=>q<=s6; -6when "0111"=>q<=s7; -7when "1000"=>q<=s8; -8when "1001"=>q<=s9; -9when others=>q<=null;end case;when '0'=>case a iswhen
13、 "0000"=>q<=s0; -0when "0001"=>q<=s1; -1when "0010"=>q<=s2; -2when "0011"=>q<=s3; -3when "0100"=>q<=s4; -4when "0101"=>q<=s5; -5when "0110"=>q<=s6; -6when "0111"=>q<=s7; -7
14、when "1000"=>q<=s8; -8when "1001"=>q<=s9; -9when others=>q<=null;end case;end case ;end process;end mizi_r;3.4、仿真結(jié)果(1)BOMA模塊仿真波形圖如下所示:圖3 BOMA模塊仿真波形圖由仿真圖可以看出,撥碼開關(guān)的信息存入q,例如0000000001用q為0表示,0000000010用q為1表示(2)MIZI模塊仿真波形圖如下所示:圖4MIZI模塊仿真波形圖由仿真結(jié)果可知:當(dāng)輸入為0的時候,輸出為,輸入為1的時
15、候,輸出為00000000001000010,相應(yīng)的在米字管上就會顯示對應(yīng)的數(shù)字。(3)總體仿真結(jié)果如下圖所示:圖5 總體仿真結(jié)果圖3.5、實驗箱驗證情況(1)按照程序中管腳的分配,連接好電路。(2)將程序仿真編譯后下載到FPGA芯片,接通電源,撥動撥碼開關(guān)得到預(yù)期的結(jié)果,結(jié)果如下圖所示:圖6 數(shù)字0顯示圖圖7數(shù)字1顯示圖圖8數(shù)字2顯示圖圖9數(shù)字3顯示圖圖10數(shù)字4顯示圖圖11 數(shù)字5顯示圖圖12數(shù)字6顯示圖圖13 數(shù)字7顯示圖圖14 數(shù)字8顯示圖圖15數(shù)字9顯示圖4、課程設(shè)計總結(jié)繼大二我們學(xué)習(xí)了硬件描述語言之后,我們今年又學(xué)習(xí)了可編程邏輯器件的應(yīng)用,在這兩門課程的講課過程中,我充分感受到了硬件描述語言的靈活與強大,一些單片機上很多程序才能實現(xiàn)的功能在FPGA上僅僅使用很少的程序就搞定了,雖然這也跟編程語言有關(guān)系,但是也顯示了硬件描述語言必定會成為以后的主流編程語言。通過本次實驗,我學(xué)到了撥碼開關(guān)的工作原理,還掌握了米字管的工作以及顯示原理,使我又增添了許多這方面的知識,尤其是模塊的設(shè)計。原來學(xué)模塊的時候?qū)W得并不是很清楚,通過這次試驗我對模塊的概念掌握得更清楚了。采用模塊化的設(shè)計思想,對于不同的功能用不同的程序模塊來實現(xiàn)。各個模塊之間保持相對獨立,這樣有利于針對不同的功能模塊進行調(diào)試,以便增加整個系統(tǒng)的成功率;同時還為功能改進和完善提供了方便,
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度企業(yè)年會包車服務(wù)協(xié)議6篇
- 二零二五年度個人教育培訓(xùn)私人借款及輔導(dǎo)合同3篇
- 工業(yè)互聯(lián)網(wǎng)產(chǎn)業(yè)園項目可行性報告
- 2025版能源公司股東節(jié)能減排合作協(xié)議書3篇
- 生物質(zhì)成型燃料建設(shè)項目可行性研究報告申請立項備案
- 二零二五年度商務(wù)咨詢servicescontract6篇
- 二零二五年度房地產(chǎn)項目融資居間服務(wù)合同5篇
- 2025年度二零二五年度mcn與環(huán)保組織合作環(huán)保項目合同3篇
- 云計算應(yīng)用-云服務(wù)平臺部署計劃
- 《國有企業(yè)采購操作規(guī)范》【2023修訂版】
- 保密與信息安全培訓(xùn)
- 砂石料供應(yīng)、運輸、售后服務(wù)方案-1
- 2022-2023學(xué)年江蘇省徐州市銅山區(qū)四校聯(lián)考五年級(上)期末科學(xué)試卷(人教版)
- 2023年人民日報社校園招聘72人筆試參考題庫(共500題)答案詳解版
- 個體工商戶公司章程范本:免修版模板范本
- 2023四川測繪地理信息局直屬事業(yè)單位招考筆試參考題庫(共500題)答案詳解版
- 《焊接常用工具》課件
- 【《“雙減”背景下小學(xué)數(shù)學(xué)創(chuàng)新作業(yè)設(shè)計問題研究》(論文)】
- 健康養(yǎng)生管理系統(tǒng)
評論
0/150
提交評論