2021年全球及中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析_第1頁
2021年全球及中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析_第2頁
2021年全球及中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析_第3頁
2021年全球及中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析_第4頁
2021年全球及中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析_第5頁
已閱讀5頁,還剩11頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

2021年全球及中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析一、半導(dǎo)體薄膜沉積設(shè)備分類薄膜生長:采用物理或化學(xué)方法使物質(zhì)附著于襯底材料表面的過程,常見生長物質(zhì)包括金屬、氧化物、氮化物等不同薄膜。根據(jù)工作原理不同,薄膜沉積生長設(shè)備可分為:物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)和外延三大類。在半導(dǎo)體領(lǐng)域,薄膜主要分給絕緣薄膜、金屬薄膜。大部分絕緣薄膜使用CVD,金屬薄膜常用PVD(主要是濺射)。薄膜設(shè)備中,CVD使用越來越廣泛。薄膜設(shè)備分類二、薄膜沉積設(shè)備相關(guān)政策法規(guī)為推動半導(dǎo)體產(chǎn)業(yè)發(fā)展,增強(qiáng)產(chǎn)業(yè)創(chuàng)新能力和國際競爭力,中國近年來推出了一系列鼓勵和支持半導(dǎo)體產(chǎn)業(yè)發(fā)展的政策,為半導(dǎo)體產(chǎn)業(yè)的發(fā)展?fàn)I造了良好的政策環(huán)境。主要的行業(yè)政策法規(guī)如下:薄膜沉積設(shè)備相關(guān)政策法規(guī)三、全球半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析薄膜沉積設(shè)備(包含CVD及其他沉積設(shè)備)在晶圓產(chǎn)線各類設(shè)備中的價值占比將穩(wěn)定在20%以上,據(jù)統(tǒng)計,2020年全球半導(dǎo)體薄膜沉積設(shè)備市場規(guī)模達(dá)172億美元,預(yù)計全球半導(dǎo)體薄膜沉積設(shè)備市場規(guī)模在2025年將從2020年的172億美元擴(kuò)大至340億美元,復(fù)合年增速近18。6%。2017-2025年全球半導(dǎo)體薄膜沉積設(shè)備市場規(guī)模走勢MaximizeMarketResearch,在硅片襯底上沉積薄膜有多種技術(shù),按工藝主要分為化學(xué)工藝和物理工藝。化學(xué)工藝包括化學(xué)氣相沉積(CVD)和電化學(xué)沉積(ECD);其中CVD占據(jù)大部分薄膜沉積市場。物理工藝主要為物理氣相沉積(PVD),其中濺射工藝制備的薄膜更加均勻、致密,對襯底附著性強(qiáng),純度更高,逐漸發(fā)展為主流,需求占比近19%。2020年全球各類薄膜沉積設(shè)備市場結(jié)構(gòu)(單位:%)四、中國半導(dǎo)體薄膜沉積設(shè)備行業(yè)市場現(xiàn)狀分析1、半導(dǎo)體設(shè)備銷售額從中國半導(dǎo)體設(shè)備銷售額來看,2019-2021年,中國大陸地區(qū)半導(dǎo)體設(shè)備銷售額由135億美元增長至296億美元,年均復(fù)合增長率達(dá)48。40%,高于全球增速。2012-2021年中國半導(dǎo)體設(shè)備銷售額及增速SEMI,2021年中國半導(dǎo)體設(shè)備銷售額大幅增長58。23%,大陸地區(qū)連續(xù)兩年成為全球第一大半導(dǎo)體設(shè)備市場,占全球半導(dǎo)體設(shè)備市場的28。86%。2020-2021年全球半導(dǎo)體設(shè)備銷售額地區(qū)分布SEMI,2020年國產(chǎn)半導(dǎo)體設(shè)備銷售額約為213億元,自給率約為16%。如僅考慮集成電路設(shè)備,國內(nèi)自給率僅有5%左右,在全球市場僅占1-2%,技術(shù)含量最高的集成電路前道設(shè)備則自給率更低。半導(dǎo)體設(shè)備嚴(yán)重依賴進(jìn)口不僅影響中國半導(dǎo)體產(chǎn)業(yè)的發(fā)展,更對中國信息產(chǎn)業(yè)安全造成重大隱患,進(jìn)口替代空間巨大。2013-2020年中國國產(chǎn)半導(dǎo)體設(shè)備銷售額及增速2、薄膜沉積設(shè)備需求現(xiàn)狀隨著產(chǎn)線的逐漸升級,晶圓制造的復(fù)雜度和工序量都大大提升,在實(shí)現(xiàn)相同芯片制造產(chǎn)能的情況下,晶圓廠對薄膜沉積設(shè)備的需求量和性能也將相應(yīng)增加。以中芯國際的不同制程邏輯芯片產(chǎn)線為例,從180nm8寸晶圓產(chǎn)線到90nm12寸晶圓產(chǎn)線,產(chǎn)線對CVD設(shè)備的需求量從月產(chǎn)能每萬片9。9臺增至42臺,PVD設(shè)備的需求量從月產(chǎn)能每萬片4。8臺增至24臺,需求量提升了4-5倍。不同制程邏輯芯片產(chǎn)線薄膜沉積設(shè)備需求量拓荊科技招股說明書,五、半導(dǎo)體薄膜沉積設(shè)備行業(yè)競爭格局分析1、全球半導(dǎo)體薄膜沉積設(shè)備競爭格局全球市場格局方面,以應(yīng)用材料、泛林半導(dǎo)體、東京電子等國際巨頭形成的壟斷格局已經(jīng)較為明顯。2020年以上三家在全球沉積設(shè)備的市場份額分別達(dá)到43%、19%和11%。2020年全球沉積設(shè)備競爭格局(單位:%)Gartner,2、全球半導(dǎo)體薄膜沉積設(shè)備細(xì)分市場格局2020年全球管式CVD設(shè)備市場空間14億美元,在各類薄膜設(shè)備中占比約10%,已逐漸被應(yīng)用更廣的等離子體和原子層沉積技術(shù)超過。日本半導(dǎo)體設(shè)備廠商東京電子和同業(yè)國際電氣(已被應(yīng)用材料收購)在全球管式CVD設(shè)備市場中分別占據(jù)46%和51%的份額。2020年全球管式CVD競爭格局(單位:%)Gartner,相比于APCVD,LPCVD具有更低的成本、更高的產(chǎn)量和更好的膜性能。2020年全球非管式LPCVD設(shè)備市場空間達(dá)10億美元,泛林半導(dǎo)體、東京電子和應(yīng)用材料分別占據(jù)40%、36%和19%的市場份額。2020年全球LPCVD競爭格局(單位:%)Gartner,等離子體輔助CVD,主要包括PECVD和HDPCVD,工作原理是在真空腔中施加射頻功率使氣體分子分解為等離子體。2020年全球等離子體CVD設(shè)備市場空間達(dá)47億美元,遠(yuǎn)超其他類別的沉積設(shè)備。應(yīng)用材料、泛林半導(dǎo)體的此類設(shè)備市占率分別為49%和34%,設(shè)備種類更全,在薄膜材料和淀積指標(biāo)上處在領(lǐng)先地位。2020年全球等離子體CVD競爭格局(單位:%)Gartner,原子層沉積(ALD)最大優(yōu)點(diǎn)是薄膜厚度均勻性,在高深寬比(>100:1)空隙和3D結(jié)構(gòu)的頂部、側(cè)面和底部可以獲得相同的膜厚度,缺點(diǎn)是沉積速度慢,約1A/min。2020年全球ALD設(shè)備市場空間近18億美元,在沉積設(shè)備市場的份額達(dá)到13%,僅次于等離子體CVD和PVD。應(yīng)用材料、泛林半導(dǎo)體、東京電子等設(shè)備巨頭均有布局。ASMI的占有率最高,達(dá)到46%。2020年全球ALD競爭格局(單位:%)Gartner,2020年濺射PVD設(shè)備的市場空間達(dá)到近30億美元,占比21%,應(yīng)用僅次于等離子體CVD。應(yīng)用材料在PVD設(shè)備市場具備絕對優(yōu)勢,市場占有率達(dá)到85%以上。2020年全球?yàn)R射設(shè)備競爭格局(單位:%)Gartner,3、國內(nèi)半導(dǎo)體薄膜沉積設(shè)備企業(yè)布局情況目前國內(nèi)布局沉積設(shè)備的廠商主要有沈陽拓荊、北方華創(chuàng)、中微公司與盛美上海,其中沈陽拓荊布局PECVD、SACVD以及ALD,產(chǎn)品已廣泛應(yīng)用于國內(nèi)14nm以上晶圓制造產(chǎn)線;北方華創(chuàng)布局PVD、APCVD、APCVD以及用于功率等的PECVD、ALD,其中PVD設(shè)備獨(dú)領(lǐng)風(fēng)騷;中微公司2022年新的針對MiniLED市場的MOCVD將實(shí)現(xiàn)0-1放量,WLPCVD研發(fā)也取得突出進(jìn)展;盛美上海前道大馬士革ECD設(shè)備已實(shí)現(xiàn)批量訂單;SiNLPCVD客戶端進(jìn)行量產(chǎn)認(rèn)證,未來有望放量賦能。國內(nèi)廠商沉積設(shè)備布局情況4、重點(diǎn)企業(yè)經(jīng)營現(xiàn)狀拓荊科技股份有限公司(簡稱“拓荊科技”)主要從事高端半導(dǎo)體專用設(shè)備的研發(fā)、生產(chǎn)、銷售和技術(shù)服務(wù),主要產(chǎn)品包括等離子體增強(qiáng)化學(xué)氣相沉積(PECVD)設(shè)備、原子層沉積(ALD)設(shè)備、次常壓化學(xué)氣相沉積(SACVD)設(shè)備三個產(chǎn)品系列。拓荊科技是國內(nèi)唯一一家產(chǎn)業(yè)化應(yīng)用的集成電路PECVD設(shè)備、SACVD設(shè)備廠商,也是國內(nèi)領(lǐng)先的集成電路ALD設(shè)備廠商。拓荊科技的每臺薄膜沉積設(shè)備由1個平臺(TM)和多個反應(yīng)腔(PM)組成,PM的數(shù)量通常為1-3個。拓荊科技PECVD設(shè)備的銷量從2018年4臺增加到2021年前三季度的23臺;ALD設(shè)備在2018年實(shí)現(xiàn)了1臺銷量;SACVD設(shè)備在2020年、2021年前三季度分別實(shí)現(xiàn)了1臺銷量。2018-2021年Q3拓荊科技主要產(chǎn)品銷量情況公司招股說明書,拓荊科技銷售PECVD設(shè)備為一臺設(shè)備(包含1-3個腔),或者單獨(dú)銷售腔體,將單獨(dú)銷售腔的數(shù)量全部折算進(jìn)設(shè)備進(jìn)行處理分析,計算得到平均每臺PECVD設(shè)備2018年、2019年、2020年、2021年1-9月平均每臺設(shè)備配置3腔、2。74腔、2。68腔、2。70腔。2018-2021年Q3拓荊科技PECVD設(shè)備主要型號銷量公司招股說明書,拓荊科技PECVD設(shè)備的兩大主力機(jī)型為PF-300T、PF-200T,其中PF-300T的銷售平均單價(萬元/PM+TM)由2018年的349。11萬元增加到2020年的373。46萬元,主要是因?yàn)镻F-300T設(shè)備中包括了ADCII、LOkII、ADHM等新工藝,使得總體均價提升;PF-200T的銷售平均單價(萬元/PM+TM)由2018年的245。25萬元增加到2020年的346。67萬元,主要是因?yàn)镻F-200T設(shè)備中包括了ACHM等新工藝,使得總體均價提升。拓荊科技PECVD設(shè)備主要產(chǎn)品銷售價格、銷售成本、毛利率變化情況公司招股說明書,六、半導(dǎo)體薄膜沉積設(shè)備發(fā)展機(jī)遇1、下游應(yīng)用高速發(fā)展,市場需求持續(xù)旺盛縱觀半導(dǎo)體行業(yè)的發(fā)展歷史,雖然行業(yè)呈現(xiàn)明顯的周期性波動,但整體增長趨勢并未發(fā)生變化,而每一次技術(shù)變革是驅(qū)動行業(yè)持續(xù)增長的主要動力。半導(dǎo)體產(chǎn)品的旺盛需求和全行業(yè)產(chǎn)能緊缺推動了晶圓制造廠擴(kuò)大資本開支,擴(kuò)充產(chǎn)線產(chǎn)能,為半導(dǎo)體設(shè)備行業(yè)市場需求增長奠定基礎(chǔ)。薄膜沉積設(shè)備作為集成電路晶圓制造的核心設(shè)備,將迎來行業(yè)快速發(fā)展階段。2、集成電路工藝進(jìn)步,設(shè)備需求穩(wěn)步增加在摩爾定律的推動下,元器件集成度的大幅提高要求集成電路線寬不斷縮小,影響集成電路制造工序愈為復(fù)雜。尤其當(dāng)線寬向7納米及以下制程發(fā)展,當(dāng)前市場普遍使用的光刻機(jī)受波長的限制精度無法滿足要求,需要采用多重曝光工藝,重復(fù)多次薄膜沉積和刻蝕工序以實(shí)現(xiàn)更小的線寬,使得薄膜沉積次數(shù)顯著增加。除邏輯芯片外,存儲器領(lǐng)域的NAND閃存以3DNAND為主,其制造工藝中,增加集成度的主要方法不再是縮小單層上線寬而是增大三維立體堆疊的層數(shù),疊堆層數(shù)也從32/64層量產(chǎn)向128/196層發(fā)展,每層均需要經(jīng)過薄膜沉積工藝步驟,催生出更多設(shè)備需求。集成電路尺寸及線寬的縮小、產(chǎn)品結(jié)構(gòu)的立體化及生產(chǎn)工藝的復(fù)雜化等因素都對半導(dǎo)體設(shè)備行業(yè)提出了更高的要求和更多的需求,并為以薄膜沉積設(shè)備為代表的核心裝備的發(fā)展提供了廣闊的市場空間。3、國際競爭日趨激烈,國家政策大力支持在國際競爭背景下,半導(dǎo)體產(chǎn)業(yè)的技術(shù)及生產(chǎn)水平,牽動眾多對國民經(jīng)濟(jì)造成重大影響的行業(yè)。因此,全球主要經(jīng)濟(jì)體如美國、歐洲、日本、韓國均推出由政府支持的半導(dǎo)體產(chǎn)業(yè)發(fā)展

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論