組合邏輯電路_第1頁
組合邏輯電路_第2頁
組合邏輯電路_第3頁
組合邏輯電路_第4頁
組合邏輯電路_第5頁
已閱讀5頁,還剩99頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

第四章組合邏輯電路§4.1概述§4.4組合邏輯電路中的競爭-冒險現(xiàn)象§4.2組合邏輯電路的分析方法和設(shè)計方法§4.3若干常用的組合邏輯電路1a第四章組合邏輯電路本章要求:1.熟練掌握組合邏輯電路的分析方法和設(shè)計方法;2.掌握標準化的中規(guī)模集成器件的邏輯功能、使用方法,能運用這些器件設(shè)計出任何其他邏輯功能的組合邏輯電路;3.了解邏輯電路中的競爭-冒險現(xiàn)象。2a邏輯電路組合邏輯電路時序邏輯電路現(xiàn)時的輸出僅取決于現(xiàn)時的輸入除與現(xiàn)時輸入有關(guān)外還與原狀態(tài)有關(guān)§4.1概述3a一、組合邏輯電路的特點從功能上從電路結(jié)構(gòu)上任意時刻的輸出僅取決于該時刻的輸入不含記憶(存儲)元件4.1概述4a二、邏輯功能的描述組合邏輯電路組合邏輯電路的框圖5a1.由給定的邏輯圖寫出邏輯關(guān)系表達式。4.2.1組合邏輯電路的分析方法2.用邏輯代數(shù)或卡諾圖對邏輯表達式進行化簡。3.列出輸入輸出狀態(tài)真值表。電路結(jié)構(gòu)邏輯功能§4.2組合邏輯電路的分析方法和設(shè)計方法4.分析邏輯功能。6a例1:分析下圖的邏輯功能。

&&&&ABF1.寫出邏輯表達式2.化簡7a真值表輸入相同輸出為“0”,輸入相異輸出為“1”,稱為“異或”邏輯關(guān)系。這種電路稱“異或”門。=13.寫真值表4.分析邏輯功能8a(1)寫出邏輯式例2:分析下圖的邏輯功能.A

B.Y=ABAB

.A?B化簡&&11.BAY&A

B

=AB+AB9a(2)列邏輯狀態(tài)表Y=AB+AB(3)分析邏輯功能

輸入相同輸出為“1”,輸入相異輸出為“0”,稱為“判一致電路”(“同或門”)

,可用于判斷各輸入端的狀態(tài)是否相同。=AB邏輯式

=1ABY邏輯符號=ABABY00110010011110aY2&&&&&&&&&&D1111CBAY1Y0例3:試分析圖示電路的邏輯功能,指出該電路的用途。解:1.根據(jù)邏輯圖寫出邏輯式11a功能分析:當D、C、B、A表示的二進制數(shù)小于或等于5時,Y0為1,當這個二進制數(shù)在6和10之間時Y1為1,當這個二進制數(shù)大于或等于11時Y2為1。故這是一個判別輸入的4位二進制數(shù)數(shù)值范圍的電路。2.列出真值表12a4.2.2組合邏輯電路的設(shè)計方法根據(jù)邏輯功能要求邏輯電路設(shè)計(1)由邏輯要求,列出邏輯狀態(tài)表(2)由邏輯狀態(tài)表寫出邏輯表達式(3)簡化和變換邏輯表達式(4)畫出邏輯圖設(shè)計步驟如下:13a例1:設(shè)計一個監(jiān)視交通信號燈狀態(tài)的邏輯電路如果信號燈出現(xiàn)故障,Z為1RAGZ14a1.抽象輸入變量:紅(R)、黃(A)、綠(G)輸出變量:故障信號(Z)2.寫出邏輯表達式輸入變量輸出RAGZ0001001001000111100010111101111115a3.選用小規(guī)模SSI器件4.化簡5.畫出邏輯圖用與或門實現(xiàn)用與非門實現(xiàn)16a多輸出組合邏輯電路的設(shè)計多輸出組合邏輯電路是指具有兩個或兩個以上的輸出邏輯變量的組合邏輯電路。例2:設(shè)計一個故障指示電路,具體要求為:(1)兩臺電動機同時工作時,綠燈亮;(2)一臺電動機發(fā)生故障時,黃燈亮;(3)兩臺電動機同時發(fā)生故障時,紅燈亮。17a解:1.設(shè)定A、B分別表示兩臺電動機這兩個邏輯變量,F(xiàn)綠、F黃、F紅分別表示綠燈、黃燈、紅燈;且用0表示電動機正常工作,1表示電動機發(fā)生故障;1表燈亮,0表示燈滅2.建立真值表:按設(shè)計要求可得下表所列的真值表ABF綠F黃F紅0010001010100101100118a

3.根據(jù)真值表求得輸出邏輯函數(shù)的表達式4.化簡上述邏輯函數(shù)表達式,并轉(zhuǎn)換成適當?shù)男问?。由于上述邏輯函?shù)的表達式都是最簡了,所以不用再化簡。19a5.根據(jù)邏輯函數(shù)表達式畫出邏輯電路圖如下示。

邏輯電路圖20a4.3若干常用組合邏輯電路4.3.1編碼器編碼:將輸入的每個高/低電平信號變成一個對應(yīng)的二進制代碼。分類:1.普通編碼器2.優(yōu)先編碼器21a一、普通編碼器特點:任何時刻只允許輸入一個編碼信號。例:3位二進制普通編碼器輸入輸出I0I1I2I3I4I5I6I7Y2Y1Y0100000000000100000000100100000010000100000110000100010000000100101000000101100000000111122a利用無關(guān)項化簡,得:23a二、優(yōu)先編碼器特點:允許同時輸入兩個以上的編碼信號,但只對其中優(yōu)先權(quán)最高的一個進行編碼。例:8線-3線優(yōu)先編碼器(設(shè)I7優(yōu)先權(quán)最高…I0優(yōu)先權(quán)最低)輸入輸出I0I1I2I3I4I5I6I7Y2Y1Y0XXXXXXX1111XXXXXX10110XXXXX100101XXXX1000100XXX10000011XX100000010X10000000011000000000024a低電平實例:

74HC148附

號25a選通信號選通信號26a附

號為0時,電路工作無編碼輸入為0時,電路工作有編碼輸入27a輸入輸出1XXXXXXXX11111011111111111010XXXXXXX0000100XXXXXX01001100XXXXX011010100XXXX0111011100XXX01111100100XX011111101100X01111111101000111111111110,反碼輸出28a狀態(tài)11不工作01工作,但無輸入10工作,且有輸入00不可能出現(xiàn)附加輸出信號的狀態(tài)及含意29a解:根據(jù)題意,該編碼器輸入信號

與編碼輸出的關(guān)系如下:,1111,0111,0101,1101,1110,1000,0110,0000......Z3Z2Z1Z0Z0Z2Z1Z3A15A0A6A7A8A14......16線—4線編碼器注意要求原碼輸出例:4.3.1用兩片74LS148接成16線-4線編碼器,將A0~A1516個低電平輸入信號編為

0000~111116個4位二進制代碼。其中A15的優(yōu)先權(quán)最高,A0的優(yōu)先權(quán)最低。30a因原碼輸出,故輸出應(yīng)反相,并將兩片的輸出相或作低位輸出。

≥1

≥1

≥1Z2Z1Z0111111第1片優(yōu)先級高,只有當

A15~A8均無信號輸入時,

第2片才能工作,故將第

1片的

接第2片

。第1片的S端接0,表示第1片始終正常工作。74LS148(1)74LS148(2)因每片只有8個輸入,

故16個輸入分別接2片?!?Z3當對A15~A8編碼時,

,當對A7

~A0編碼時,最高位輸出

Z3=0,故可用第1片的YEX作最高位編碼輸出。31a先非后或等于先與后非&≥11174LS148(1)…74LS148(2)…1&&&Z3Z2Z1Z032a33a題4.8用4片74LS148接成32線-5線優(yōu)先編碼器。輸入低電平有效,原碼輸出。解:根據(jù)題意,該編碼器輸入信號與編碼輸出的關(guān)系如下:,11111,11000...,00111,00000...Z4Z3Z2Z1Z0,10111,10000...,01111,01000...Z0Z2Z1Z3A31A0A30Z4...32線—5線編碼器34a因原碼輸出,故將兩片的輸出相與非,作低位編碼輸出。第1片優(yōu)先級高,將前片的

接后片的

。高位編碼輸出的設(shè)計(1)......(2)......(3)......(4)......此為4—2線編碼關(guān)系35a三、二-十進制優(yōu)先編碼器將編成0110~1110的優(yōu)先權(quán)最高,

最低輸入的低電平信號變成一個對應(yīng)的十進制的編碼二一十進制優(yōu)先編碼器74LS147邏輯圖和功能表見P17336a37a4.3.2譯碼器譯碼:將每個輸入的二進制代碼譯成對應(yīng)的輸出高、低電平信號。常用的有:二進制譯碼器,二-十進制譯碼器,顯示譯碼器等一、二進制譯碼器例:3線—8線譯碼器輸入輸出A2A1A0Y7Y6Y5Y4Y3Y2Y1Y0000000000010010000001001000000100011000010001000001000010100100000110010000001111000000038a真值表邏輯表達式:用電路進行實現(xiàn)

用二極管與門陣列組成的3線-8線譯碼器

39a集成譯碼器實例:74HC138低電平輸出附加控制端40a74HC138的功能表:輸入輸出S1A2A1A00XXXX11111111X1XXX11111111100001111111010001111111011001011111011100111111011110100111011111010111011111101101011111110111011111111&S1S2S3S41a擴展功能:片選功能級聯(lián)擴展當S1=1,S2=0,S3=0時,

S=1,譯碼器處于工作狀態(tài);

選中否則,S=0,所有輸出均鎖定在高電平;

未選中解:分析題意即要求實現(xiàn)圖示功能:4-16線譯碼

D3D2D1D0...例4.3.2:用兩片74LS138組成一個4-16線譯碼器,將輸入的4位二進制代碼D3D2D1D0的十六種狀態(tài)譯成十六個獨立的低電平信號Z0~Z15。42a用真值表表示即:兩片共16個輸出作輸出Z0~Z15兩片的A0、A1、A2分別相連作低位輸入D0、D1、D2高位輸入D3怎么辦?故可將D3接第(1)片的

S2、S3,D3接第(2)片的S1

D3D2D1D0

輸出

0000

Z0=00001Z1=0…

0111Z7=0

1000Z8=01001Z9=0

…1111Z15=0D3D2D1D0Z0Z7Z8Z15......由真值表得:當D3=0時,Z0~Z7有輸出;當D3=1時,Z8~Z15有輸出實現(xiàn)方法:+5V74LS138(1)A0A1A2

S1S2S3

74LS138(2)A0A1A2

S1S2S3

43a驗證:+5VD3D2D1D074LS138(1)A0A1A2

S1S2S3

74LS138(2)A0A1A2

S1S2S3

Z0Z7Z8Z15......當D3D2D1D0=0000時,(1)工作,Z0=0當D3D2D1D0=0001時,(1)工作,Z1=0當D3D2D1D0=0111時,(1)工作,Z7=0...當D3D2D1D0=1000時,(2)工作,Z8=0當D3D2D1D0=1001時,(2)工作,Z9=0當D3D2D1D0=1111時,(2)工作,Z15=0...44aD3=1D3=045a例:用4片74LS138組成一個5-32線譯碼器,將輸入的5位二進制代碼D4D3D2D1D0的32種狀態(tài)譯成32個獨立的低電平信號Z0~Z31。解:分析題意既要求實現(xiàn)圖示功能:5-32線譯碼

D4D3D2D1D0

...用真值表表示即:D4D3D2D1D0

輸出

00000

Z0=0…

00111Z7=0

01000Z8=0

…01111Z15=0

10000Z16=0

…10111Z23=0

11000Z24=0

…11111Z31=046a四片共32個輸出作輸出Z0~Z31四片的A0、A1、A2分別對應(yīng)相連作低位輸入D0、D1、D2實現(xiàn)方法:高位輸入的設(shè)計故可將D4D3接2—4線譯碼器,譯碼器輸

出接各片S1D2D1D074LS138(1)A0A1A2

S1S2S3

74LS138(2)A0A1A2

S1S2S3

74LS138(3)A0A1A2

S1S2S3

A0A1A2

S1S2S3

74LS138(4)Z0Z7Z8Z15......Z16Z23Z24Z31......D4D3從真值表看出:D4D3與各片S1的關(guān)系如表,此為譯碼關(guān)系。47a二、二—十進制譯碼器將輸入BCD碼的10個代碼譯成10個高、低電平的輸出信號 BCD碼以外的偽碼,輸出均無低電平信號產(chǎn)生例:74HC4248a三、用譯碼器設(shè)計組合邏輯電路1.基本原理

3位二進制譯碼器給出3變量的全部最小項; 。。。

n位二進制譯碼器給出n變量的全部最小項; 任意函數(shù) 將n位二進制譯碼輸出的最小項組合起來,可獲得任何形式的輸入變量不大于n的組合函數(shù)49a2.舉例例:利用74HC138設(shè)計一個多輸出的組合邏輯電路,輸出邏輯函數(shù)式為:50a四、顯示譯碼器1.七段字符顯示器如:51a2.BCD七段字符顯示譯碼器 (代碼轉(zhuǎn)換器)7448輸入輸出數(shù)字A3A2A1A0YaYbYcYdYeYfYg字形00000111111010001011000020010110110130011111100140100011001150101101101160110001111170111111000081000111111191001111001110101000011011110110011001121100010001113110110010111411100001111151111000000052a真值表卡諾圖53aBCD-七段顯示譯碼器7448的邏輯圖54aBCDA集成BCD—七段顯示譯碼器7448:其主要功能:將BCD代碼譯成數(shù)碼管所需要的驅(qū)動信號,以便使數(shù)碼管用十進制數(shù)字顯示出BCD代碼所表示的值。燈測試輸入滅零輸入(滅燈輸入/滅零輸出)55aA3A2A1A0LTBI/RBORBIYaYbYcYdYeYfYgGNDA3A2A1A01VCCVCC(7448)BS201A1KΩ×7abcdefg例:用7448驅(qū)動共陰極的數(shù)碼管輸出端等效電路外接電阻可增加驅(qū)動電流VCC2KYa1KaI外接電阻的作用56a7448的附加控制信號:(1)燈測試輸入

當時,Ya~Yg全部置為110000111000157a7448的附加控制信號:(2)滅零輸入當時,時,則滅燈1000158a7448的附加控制信號:(3)滅燈輸入/滅零輸出輸入信號,稱滅燈輸入控制端:無論輸入狀態(tài)是什么,數(shù)碼管熄滅輸出信號,稱滅零輸出端:只有當輸入,且滅零輸入信號時,才給出低電平因此表示譯碼器將本來應(yīng)該顯示的零熄滅了

59a當且才出輸出滅零60a61a例:利用和的配合,實現(xiàn)多位顯示系統(tǒng)的

滅零控制

整數(shù)部分:最高位是0,而且滅掉以后,輸出作為次高位的輸入信號小數(shù)部分:最低位是0,而且滅掉以后,輸出作為次低位的輸入信號62a4.3.3數(shù)據(jù)選擇器從一組數(shù)據(jù)中選擇一路信號進行傳輸?shù)碾娐?,稱為數(shù)據(jù)選擇器。A0A1D3D2D1D0W控制信號輸入信號輸出信號數(shù)據(jù)選擇器類似一個多投開關(guān)。選擇哪一路信號由相應(yīng)的一組控制信號控制。63a從n個數(shù)據(jù)中選擇一路傳輸,稱為一位數(shù)據(jù)選擇器。從m組數(shù)據(jù)中各選擇一路傳輸,稱為m位數(shù)據(jù)選擇器。W3X3Y3W3X2Y2W3X1Y1W3X0Y0A控制信號四位二選一選擇器64a一、數(shù)據(jù)選擇器的工作原理功能示意圖D3D2D1D0Y數(shù)據(jù)輸入地址選擇數(shù)據(jù)輸出雙4選1數(shù)據(jù)選擇器74LS153★基本功能:A1A0

輸出00Y=D001Y=D1

10Y=D211Y=D3擴展功能:利用S端可實現(xiàn)片選功能和級聯(lián)功能D0D1D2D3A1A0Y74LS153數(shù)據(jù)輸入數(shù)據(jù)輸出地址選擇65a“雙四選一”,74HC15366aA1A0Y11XX0000D10001D11010D12011D13例:“雙四選一”,74HC153分析其中的一個“四選一”67a例:用兩個“四選一”接成“八選一”“四選一”只有2位地址輸入,從四個輸入中選中一個“八選一”的八個數(shù)據(jù)需要3位地址代碼指定其中任何一個68a二、用數(shù)據(jù)選擇器設(shè)計組合電路1.基本原理

具有n位地址輸入的數(shù)據(jù)選擇器,可產(chǎn)生任何形式的輸入變量不大于n+1的組合函數(shù)69a例如:70a例:用8選1數(shù)據(jù)選擇器74LS152實現(xiàn)三變量邏輯函數(shù)將A、B、C與A2、A1、A0對應(yīng),并變換成數(shù)據(jù)選擇器輸出的形式將兩式比較,可知:令D0=1,D1=0,D2=0,D3=1,D4=0D5=1,D6=0,D7=1,A2=A,A1=B,A0=C,則Z=Y。解:8選1數(shù)據(jù)選擇器74LS152的輸出為注意:輸出低電平有效71a1ZYCBA10D0D1D2D3D4D5D6D7A0A1A274LS152D0=1,D1=0,D2=0,D3=1,D4=0,D5=1,D6=0,D7=1,A2=A,A1=B,A0=C,Z=Y72a總結(jié)用n位輸入的數(shù)據(jù)選擇器,可以產(chǎn)生任何一種輸入變量數(shù)不大于n+1的組合邏輯函數(shù)。設(shè)計時可以采用函數(shù)式比較法??刂贫俗鳛檩斎攵?,數(shù)據(jù)輸入端可以綜合為一個輸入端。73a4.3.4加法器一、1位加法器1.半加器,不考慮來自低位的進位,將兩個1位的二進制數(shù)相加輸入輸出ABSCO000001101010110174a2.全加器:將兩個1位二進制數(shù)及來自低位的進位相加輸入輸出ABCISCO000000011001010011011001010101110011111174LS18374HC18375a二、多位加法器串行進位加法器優(yōu)點:簡單缺點:慢76a2.超前進位加法器基本原理:加到第i位的進位輸入信號是兩個加數(shù)第i位以前各位(0~j-1)的函數(shù),可在相加前由A,B兩數(shù)確定。優(yōu)點:快,每1位的和及最后的進位基本同時產(chǎn)生。缺點:電路復(fù)雜。74LS28377a78a串行特點:速度慢。(因為每一位的運算都要等到低一位運算完,才能進行,因此稱串行進位加法器)超前進位串行速度慢的原因是因為要先算出前級的進位,才能進行后級的計算,那么能不能在一開始就事先知道每一級的進位呢?CI1

→A0、B0CI2

A1、B1、CI1→A1B1A0B0CI3

A2、B2、CI2→A2B2A1B1A0B0CI4

A3、B3、CI3→A3B3A2B2A1B1A0B0任一級的進位CIi都可以由A0~Ai-1,

B0~Bi-1決定即CIi是A0~Ai-1,B0~Bi-1的函數(shù),只要A0~Ai-1,B0~Bi-1確定則CIi即可求出此即超前進位的理論依據(jù)A3

A2

A1

A0+B3

B2

B1

B0S3S1S0S2CI3CI1CI2CI4CO79a下面討論CIi與A0~Ai-1,B0~Bi-1的函數(shù)關(guān)系根據(jù)加法器的邏輯式,有:即:定義Gi=AiBi,上式表明,當AiBi=1時,COi=1,即產(chǎn)生一個進位,故Gi又稱為進位產(chǎn)生函數(shù)定義Pi=Ai+Bi

,當AiBi=0,Ai+Bi=1時,COi=CIi,即將進位輸入傳遞到進位輸出,故Pi又稱為進位傳遞函數(shù)80a利用

(第i位的進位輸入=第i-1位的進位輸出),將上式展開,得:此式即第i位進位輸出COi的邏輯式,反映了CIi與A0~Ai-1,B0~Bi-1的函數(shù)關(guān)系。同時也給出了電路的實現(xiàn)方法。①81a根據(jù)上兩式即可畫出超前進位加法器的邏輯圖例4位超前進位加法器74LS283★≥1&&A1B1A1+B1A0+B0A0B0CI0=1S1X1Y1驗證:寫出邏輯式并化簡,得:可驗證其它幾級第i位和的邏輯式82a超前進位加法器進位位直接由加數(shù)、被加數(shù)和最低位進位位CI0形成。直接形成進位83a結(jié)論:完成計算的時間是三級門的傳輸時間,速度快運算時間的縮短是以增加電路的復(fù)雜程度換得的,i越大,

CIi的電路越復(fù)雜。84a三、用加法器設(shè)計組合電路基本原理:若能生成函數(shù)可變換成輸入變量與輸入變量相加若能生成函數(shù)可變換成輸入變量與常量相加例:將BCD的8421碼轉(zhuǎn)換為余3碼輸入輸出DCBAY3Y2Y1Y00000001100010100001001010011011001000111010110000110100101111010100010111001110085a4.3.5數(shù)值比較器用來比較兩個二進制數(shù)的數(shù)值大小一、1位數(shù)值比較器A,B比較有三種可能結(jié)果86a二、多位數(shù)值比較器原理:從高位比起,只有高位相等,才比較下一位。例如:87a2.集成電路CC14585實現(xiàn)4位二進制數(shù)的比較88a擴展功能:(用兩片4位比較器級聯(lián)實現(xiàn)8位數(shù)比較)例:比較C=C7C6C5C4C3C2C1C0,D=D7D6D5D4D3D2D1D0的大小。方法:低4位接第(1)片輸入,高4位接第(2)片輸入;

第(1)片Y(A<B)、Y(A=B)接第(2)片I(A<B)、I(A=B)

;第(2)片輸出作總輸出

。B3B2B1B0A3A2A1A0I(A<B)I(A=B)I(A>B)CC14585(1)D3D2D1D0C3C2C1C0Y(A<B)Y(A=B)Y(A>B)B3B2B1B0A3A2A1A0I(A<B)I(A=B)I(A>B)CC14585(2)D7D6D5D4C7C6C5C4Y(A<B)Y(A=B)Y(A>B)01Y(C<D)Y(C=D)Y(C>D)89a重新分析邏輯式(考慮I(A<B)和I(A=B))說明當A≠B時,比較結(jié)果與I無關(guān);當A=B時,比較結(jié)果決定于I。且Y(A<B)=I(A<B),Y(A=B)=

I(A=B)。當A<B時,不管I為何值,都有Y(A<B)=1,Y(A=B)=0,Y(A>B)=0;Y(A=B)=(A3⊙B3)(A2⊙B2)(A1⊙B1)(A0⊙B0)I(A=B)Y(A<B)=A3B3+(A3⊙B3)A2B2+(A3⊙B3)(A2⊙B2)A1B1+(A3⊙B3)(A2⊙B2)(A1⊙B1)A0B0

+(A3⊙B3)(A2⊙B2)(A1⊙B1)(A0⊙B0)

I(A<B)Y(A>B)=Y(A<B)+Y(A=B)當A>B時,不管I為何值,都有Y(A<B)=0,Y(A=B)=0,Y(A>B)=1;當A=B時,Y(A<B)=I(A<B),Y(A=B)=

I(A=B),Y(A>B)=Y(A<B)+Y(A=B)

。90a由于當高位不相等時,輸出決定于高位比較結(jié)果,與控制端I無關(guān);當高位相等時,輸出應(yīng)決定于低位比較結(jié)果。

D3D2D1D2C3C2C1C0D7D6D5D4C7C6C5C401Y(C<D)Y(C=D)Y(C>D)B3B2B1B0A3A2A1A0I(A<B)I(A=B)I(A>B)CC14585(1)Y(A<B)Y(A=B)Y(A>B)B3B2B1B0A3A2A1A0I(A<B)I(A=B)I(A>B)CC14585(2)Y(A<B)Y(A=B)Y(A>B)級聯(lián)方法低4位接第(1)片輸入,高4位接第(2)片輸入;第(2)片輸出作總輸出

。故將第(1)片Y(A<B)、

Y(A=B)接第(2)片I(A<B)、I(A=B)

;第(1)片I(A<B)接0,I(A=B)接1,

兩片的I(A>B)接1。91aD3D2D1D2C3C2C1C0D7D6D5D4C7C6C5C401Y(C<D)Y(C=D)Y(C>D)B3B2B1B0A3A2A1A0I(A<B)I(A=B)I(A>B)CC14585(1)Y(

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論