第4章 數(shù)字化處理技術(shù)課件_第1頁(yè)
第4章 數(shù)字化處理技術(shù)課件_第2頁(yè)
第4章 數(shù)字化處理技術(shù)課件_第3頁(yè)
第4章 數(shù)字化處理技術(shù)課件_第4頁(yè)
第4章 數(shù)字化處理技術(shù)課件_第5頁(yè)
已閱讀5頁(yè),還剩102頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

數(shù)字電源技術(shù)及其應(yīng)用人民郵電出版社1第4章數(shù)字化處理技術(shù)人民郵電出版社2本章主要內(nèi)容4.1DSP

4.2DSP系統(tǒng)設(shè)計(jì)4.3DSP在數(shù)字電源中的工程應(yīng)用4.4MCU4.5

可編程邏輯控制技術(shù)4.6可編程邏輯器件4.7CPLD/FPGA的發(fā)展與應(yīng)用人民郵電出版社34.1DSP4.1.1DSP系統(tǒng)的發(fā)展

將DSP技術(shù)應(yīng)用在采集系統(tǒng)中,DSP將數(shù)模轉(zhuǎn)換后的數(shù)據(jù)信號(hào)進(jìn)行數(shù)據(jù)處理,處理后的數(shù)據(jù)再送往微機(jī)進(jìn)行記錄和顯示。DSP的強(qiáng)大計(jì)算能力使采集功能大為提高,主要體現(xiàn)在:提高了采樣速率;提高了采樣性能。DSP系統(tǒng)的開(kāi)發(fā)流程如下圖所示:人民郵電出版社4人民郵電出版社5

4.1.2

DSP系統(tǒng)的結(jié)構(gòu)特點(diǎn)多總線(xiàn)結(jié)構(gòu);多處理單元;流水線(xiàn)結(jié)構(gòu);硬件乘法器。人民郵電出版社6

4.1.3DSP芯片的分類(lèi)與技術(shù)特征

1.DSP芯片的分類(lèi)按用途分:專(zhuān)用型與通用型專(zhuān)用型DSP指一種芯片僅完成一種DSP算法,這類(lèi)器件多出現(xiàn)在工業(yè)及消費(fèi)類(lèi)電子行業(yè)。通用型芯片具有較豐富的硬件接口和很強(qiáng)的可編程性。

按結(jié)構(gòu)分:浮點(diǎn)型與定點(diǎn)型浮點(diǎn)型DSP可以完成整數(shù)和實(shí)數(shù)的運(yùn)算,定點(diǎn)型DSP完成整數(shù)運(yùn)算。人民郵電出版社72.DSP芯片的技術(shù)特征在一個(gè)指令周期內(nèi)可完成一次乘法和一次加法;程序和數(shù)據(jù)空間分開(kāi),可同時(shí)訪問(wèn)指令和數(shù)據(jù);片內(nèi)的快速RAM通??梢酝ㄟ^(guò)獨(dú)立的數(shù)據(jù)總線(xiàn)在兩塊中同時(shí)訪問(wèn);具有低開(kāi)銷(xiāo)或無(wú)開(kāi)銷(xiāo)的循環(huán)和跳轉(zhuǎn)硬件支持;具有在單周期內(nèi)操作的多個(gè)硬件地址產(chǎn)生器;可以并行執(zhí)行多個(gè)操作;支持流水線(xiàn)操作,使取址、譯碼和執(zhí)行等操作可以重疊執(zhí)行。人民郵電出版社84.2DSP系統(tǒng)設(shè)計(jì)4.2.1DSP系統(tǒng)的設(shè)計(jì)流程典型的DSP系統(tǒng)的信號(hào)處理流程如下圖所示:人民郵電出版社9典型的DSP系統(tǒng)設(shè)計(jì)步驟如下:了解應(yīng)用需求;確定合適算法;選擇合適器件;實(shí)施系統(tǒng)設(shè)計(jì);系統(tǒng)集成與調(diào)試。人民郵電出版社104.2.2DSP芯片的選擇

1.芯片的運(yùn)算速度2.芯片的價(jià)格3.芯片的硬件資源4.芯片的運(yùn)算精度5.芯片的開(kāi)發(fā)工具6.芯片的功耗7.封裝形式、質(zhì)量標(biāo)準(zhǔn)、供貨情況、生命周期等其他因素。人民郵電出版社11在工程實(shí)踐中,通常需要確定DSP系統(tǒng)的運(yùn)算量以選擇DSP芯片,此時(shí)需要考慮兩種情況:(1)按樣點(diǎn)處理(2)按幀處理人民郵電出版社124.2.3DSP芯片的開(kāi)發(fā)工具

可編程DSP芯片的開(kāi)發(fā)需要一套完整的軟硬件開(kāi)發(fā)工具,DSP芯片的開(kāi)發(fā)工具可以分為代碼生成工具和代碼調(diào)試工具兩大類(lèi)。代碼生成工具的作用是將用C語(yǔ)言、匯編語(yǔ)言或混合語(yǔ)言編程的DSP源代碼編譯匯編并鏈接成為可執(zhí)行的DSP程序。它主要包括:匯編器和鏈接器、C編譯器、及一些輔助工具程序,如文件格式轉(zhuǎn)換程序、哭生成和文檔管理程序等。代碼調(diào)試工具的作用是對(duì)DSP程序及系統(tǒng)進(jìn)行調(diào)試,使之能夠達(dá)到設(shè)計(jì)目標(biāo)。主要有:C/匯編語(yǔ)言源碼調(diào)試器、初學(xué)者工具DSK、軟件模擬器、評(píng)價(jià)模塊EVM、軟件開(kāi)發(fā)系統(tǒng)SWDS和仿真器XDS。人民郵電出版社134.2.4DSP系統(tǒng)的軟件開(kāi)發(fā)方法1.軟件設(shè)計(jì)工具介紹CCS是目前最優(yōu)秀、最流行的DSP開(kāi)發(fā)軟件之一。它為開(kāi)發(fā)者提供了一個(gè)統(tǒng)一的開(kāi)發(fā)環(huán)境。CCS擴(kuò)展了基本的代碼生成工具,集成了調(diào)試和實(shí)時(shí)分析功能。開(kāi)發(fā)者的一切開(kāi)發(fā)過(guò)程都是在CCS這個(gè)集成環(huán)境下進(jìn)行的,包括項(xiàng)目的建立、源程序的編輯和調(diào)試。人民郵電出版社142.軟件設(shè)計(jì)流程進(jìn)行DSP軟件開(kāi)發(fā)最常用的工具,在利用CCS進(jìn)行軟件設(shè)計(jì)之前,要對(duì)CCS進(jìn)行設(shè)置,選擇相應(yīng)的對(duì)應(yīng)平臺(tái)加載到系統(tǒng)中。(1)設(shè)計(jì)步驟進(jìn)行概念設(shè)計(jì),構(gòu)想DSP程序整個(gè)設(shè)計(jì)思路;編寫(xiě)代碼,可以使用匯編語(yǔ)言或者C語(yǔ)言;進(jìn)行編譯、調(diào)試工作;對(duì)可以正常運(yùn)行的程序進(jìn)行實(shí)時(shí)性分析。人民郵電出版社15

(2)語(yǔ)言選擇匯編語(yǔ)言高級(jí)語(yǔ)言(一般是C、C++)混合編程(匯編語(yǔ)言和高級(jí)語(yǔ)言混合)人民郵電出版社16

(3)設(shè)計(jì)方式直接編寫(xiě)匯編語(yǔ)言程序進(jìn)行編譯鏈接;編寫(xiě)C語(yǔ)言程序,用C語(yǔ)言程序優(yōu)化軟件進(jìn)行編譯鏈接;混合編程模式,程序中既有匯編代碼,又含有C語(yǔ)言代碼。人民郵電出版社17

4.3DSP在數(shù)字電源中的工程應(yīng)用4.3.1DSP在數(shù)字控制直流電源中的應(yīng)用1.ADMC331的結(jié)構(gòu)特點(diǎn)集成了一個(gè)26MIPS定點(diǎn)數(shù)字信號(hào)處理器內(nèi)核,它與ADSP-2100數(shù)字信號(hào)處理系列的代碼完全兼容;單周期指令執(zhí)行時(shí)間為38.5ns;內(nèi)置了2K24位程序存儲(chǔ)器ROM,2K24位程序存儲(chǔ)器RAM,和1K16位數(shù)據(jù)存儲(chǔ)器RAM;具有一個(gè)三相16位基于中點(diǎn)的脈寬調(diào)制(PWM)發(fā)生器,能夠靈活編程,產(chǎn)生具有處理器開(kāi)銷(xiāo)最小的高精度PWM信號(hào);人民郵電出版社18

有2路8位輔助脈寬調(diào)制(AUXPWM)通道,頻率可編程;有7路Σ-▽型A/D變換通道,最高分辨率為12位;具有24個(gè)可編程數(shù)字輸入輸出(PIO)口,可單獨(dú)設(shè)置成輸入或輸出,支持狀態(tài)變化中斷;提供了2個(gè)雙緩沖同步串行口,用以完成串行通信和多處理器間的通信;帶有實(shí)時(shí)中斷的16位看門(mén)狗定時(shí)器;內(nèi)部程序存儲(chǔ)器ROM固化了一些實(shí)用程序,方便系統(tǒng)的程序設(shè)計(jì),減少了數(shù)字控制系統(tǒng)的程序計(jì)算時(shí)間。人民郵電出版社19

2.ADMC331的DC-DC直流驅(qū)動(dòng)電源設(shè)計(jì)DC-DC直流驅(qū)動(dòng)電源系統(tǒng)結(jié)構(gòu)框圖如下:人民郵電出版社20

(1)主電路人民郵電出版社21

(2)DSP控制系統(tǒng)DSP是控制電路的核心,控制系統(tǒng)由ADMC331、E2PROM及外圍電路等構(gòu)成最小DSP系統(tǒng),完成控制、計(jì)算、保護(hù)等功能,可實(shí)現(xiàn)火炮驅(qū)動(dòng)直流電源真正意義上的全數(shù)字化控制。ADMC331提供PWM控制信號(hào),經(jīng)隔離驅(qū)動(dòng)后驅(qū)動(dòng)功率MOSFET管工作。人民郵電出版社22

(3)驅(qū)動(dòng)電路

驅(qū)動(dòng)電路的好壞直接影響系統(tǒng)工作的可靠性和電氣性能,對(duì)于功率MOSFET管采用的驅(qū)動(dòng)電路,變壓器隔離,電路設(shè)計(jì)大為簡(jiǎn)化,抗干擾能力強(qiáng),具有快速、高性能的特點(diǎn)。(4)仿真與試驗(yàn)

采用PSPICE軟件對(duì)主電路進(jìn)行仿真,DC-DC直流驅(qū)動(dòng)電源系統(tǒng)運(yùn)行穩(wěn)定試驗(yàn)效果比較良好。數(shù)字信號(hào)處理器在電源產(chǎn)品設(shè)計(jì)上的廣泛應(yīng)用,可以實(shí)現(xiàn)電源產(chǎn)品的高效、可靠及真正意義上的全數(shù)字化控制,提高控制電路的集成度和控制電路乃至整個(gè)系統(tǒng)的可靠性和可塑性。

人民郵電出版社23

4.3.2DSP在數(shù)字控制UPS電源中的應(yīng)用1.數(shù)控UPS的應(yīng)用優(yōu)勢(shì)數(shù)字化控制可采用先進(jìn)的控制方法和智能控制策略,使得UPS的智能化程度更高,性能更加完美;控制靈活,系統(tǒng)升級(jí)方便,甚至可以在線(xiàn)修改控制算法,而不必對(duì)硬件電路做改動(dòng);控制系統(tǒng)可靠性提高,易于標(biāo)準(zhǔn)化;系統(tǒng)維護(hù)方便,系統(tǒng)一旦出現(xiàn)故障,可以方便地通過(guò)RS-232或USB接口進(jìn)行調(diào)試,故障查詢(xún),歷史記錄查詢(xún),軟件修復(fù),甚至控制參數(shù)的在線(xiàn)修改和調(diào)試;系統(tǒng)一致性好,成本低,生產(chǎn)制造方便;易于組成并聯(lián)運(yùn)行系統(tǒng)。人民郵電出版社24

2.數(shù)字化控制的研究應(yīng)用現(xiàn)狀和發(fā)展趨勢(shì)

很多廠家已經(jīng)推出了自己的數(shù)字控制的UPS產(chǎn)品,如APC、三菱公司等。然而目前市面上的UPS大多數(shù)仍然采用模擬控制??梢?jiàn)數(shù)字化UPS的產(chǎn)品化仍有很大的發(fā)展空間。今后UPS的主要發(fā)展趨勢(shì)如下:大型化智能化高頻化高可靠性功率因數(shù)校正人民郵電出版社25

3.DSP控制的UPS工作流程

當(dāng)市電正常,輸入電壓、頻率在允許的范圍時(shí),PFC部分對(duì)輸入進(jìn)行功率因數(shù)校正,使得該系統(tǒng)的輸入功率因數(shù)為0.98左右,同時(shí)避免對(duì)電網(wǎng)產(chǎn)生污染,輸入的市電經(jīng)PFC環(huán)節(jié)變換得到400V直流輸出電壓,為后面的逆變電路提供能量。同時(shí)DC/DC部分仍然在正常工作,只是由于電池電壓經(jīng)過(guò)DC/DC電路變換得到360V輸出電壓,略小于市電經(jīng)PFC變換得到的直流母線(xiàn)電壓,這樣通過(guò)二極管就將它和直流母線(xiàn)隔離,DC/DC部分空載運(yùn)行,處于熱備用狀態(tài)。人民郵電出版社26

當(dāng)市電不正常時(shí),市電掉電或者輸入電壓、頻率不在允許的范圍時(shí),市電經(jīng)PFC得到直流母線(xiàn)電壓迅速降低,當(dāng)?shù)陀?60V時(shí),二極管導(dǎo)通,使得直流母線(xiàn)電壓維持在360V,此時(shí)逆變器得到的能量是由電池電壓經(jīng)由DC/DC電路變化得到的直流母線(xiàn)電壓。無(wú)論市電是否正常逆變部分均可以正常工作。一般蓄電池可提供幾分鐘到幾十分鐘的后備供電時(shí)間,大容量的電池組的后備供電時(shí)間可以達(dá)到幾個(gè)到十幾個(gè)小時(shí)。經(jīng)處理以后的市電同時(shí)還送給市電電壓/流相位測(cè)量電路,產(chǎn)生市電電壓信號(hào)和相位信號(hào),供微處理器電壓/流測(cè)量和同步鎖相之用。這樣就實(shí)現(xiàn)了對(duì)負(fù)載的不間斷供電。人民郵電出版社27

4.DSP控制的UPS組成結(jié)構(gòu)

主要由輸入功率因數(shù)校正、逆變部分、DC/DC等組成。人民郵電出版社28

5.DSP控制的UPS結(jié)構(gòu)功能(1)UPS的功率校正電路

主要由功率管T5、電感L1、二極管D1、電容C1組成。人民郵電出版社29

(2)正弦逆變電路結(jié)構(gòu)

主要由電容C1,功率管T1、T2、T3、T4組成的逆變橋,電感L2,電容C2等組成。人民郵電出版社30

(3)DC/DC電路結(jié)構(gòu)

主要由高頻變壓器、功率管T6、T7,整流二極管D33、D34、D35、D36,電容C31等組成。人民郵電出版社31

(4)UPS其他結(jié)構(gòu)功能

同時(shí)通過(guò)SCI和SPI來(lái)實(shí)現(xiàn)整臺(tái)UPS的監(jiān)控程序,通過(guò)SCI口和微機(jī)進(jìn)行通信,實(shí)現(xiàn)遠(yuǎn)程監(jiān)控是全數(shù)化UPS的重要結(jié)構(gòu)功能。一方面,在UPS運(yùn)行時(shí)出現(xiàn)市電故障或停電時(shí),UPS會(huì)利用上述通信通道向由它供電的計(jì)算機(jī)網(wǎng)絡(luò)傳送因市電故障產(chǎn)生的報(bào)警信號(hào)。當(dāng)長(zhǎng)時(shí)間停電,而電池組的供電電壓要低于臨界放電電壓時(shí),計(jì)算機(jī)網(wǎng)絡(luò)會(huì)在UPS電源發(fā)出自動(dòng)關(guān)閉命令的驅(qū)動(dòng)下,完成數(shù)據(jù)的保存和設(shè)備的保護(hù)。人民郵電出版社32

另一方面,提供一個(gè)友好的人機(jī)界面,實(shí)時(shí)監(jiān)視UPS的運(yùn)行參數(shù),方便用戶(hù)的參數(shù)修改,同時(shí)便于用戶(hù)查詢(xún)UPS運(yùn)行的歷史記錄。還可以在計(jì)算機(jī)網(wǎng)絡(luò)上對(duì)UPS進(jìn)行定時(shí)的開(kāi)機(jī)/自動(dòng)關(guān)機(jī)操作。為實(shí)現(xiàn)上述控制功能,還可以提供RS-232和RS-485通信接口,用戶(hù)可根據(jù)實(shí)際情況任選一種。對(duì)于要求執(zhí)行網(wǎng)絡(luò)管理功能的UPS,應(yīng)配置有簡(jiǎn)單的網(wǎng)絡(luò)管理協(xié)議(SNMP)適配器或適配卡。人民郵電出版社33

4.3.3DSP在有源濾波中的應(yīng)用1.DSP在有源濾波中的應(yīng)用優(yōu)勢(shì)運(yùn)算速度快;編程方便;穩(wěn)定性及可重復(fù)性好;精度高;集成便捷。人民郵電出版社34

2.有源濾波的基本原理人民郵電出版社35

3.硬件設(shè)計(jì)系統(tǒng)的硬件部分主要由4個(gè)模塊組成,即DSP算法實(shí)現(xiàn)和輸出控制模塊、數(shù)據(jù)采集模塊、CPLD邏輯控制及液晶鍵盤(pán)模塊、補(bǔ)償電壓輸出模塊。人民郵電出版社36

4.軟件設(shè)計(jì)系統(tǒng)的軟件設(shè)計(jì)主要有以下幾個(gè)部分組成:系統(tǒng)初始化程序,F(xiàn)FT諧波分析程序,串口通信程序,中斷處理程序。其中中斷處理程序包括:電壓過(guò)零捕捉中斷、A/D采樣轉(zhuǎn)換中斷、鍵盤(pán)信號(hào)輸入中斷、事件處理器周期中斷、IPM故障處理終端等。人民郵電出版社37

系統(tǒng)軟件設(shè)計(jì)框圖如下:人民郵電出版社38

4.4MCU4.4.1MCU的發(fā)展1.MCU的發(fā)展歷程單片機(jī)出現(xiàn)的歷史并不長(zhǎng),但發(fā)展十分迅猛。它的產(chǎn)生與發(fā)展和微處理器的產(chǎn)生與發(fā)展大體同步,自1971年美國(guó)Intel公司首先推出4位微處理器以來(lái),它的發(fā)展到目前為止大致可分為5個(gè)階段。下面以Intel公司的單片機(jī)發(fā)展為代表加以介紹。人民郵電出版社39

1971-1976

單片機(jī)發(fā)展的初級(jí)階段。1971年11月Intel公司首先設(shè)計(jì)出集成度為2000只晶體管/片的4位微處理器Intel4004,并配有RAM、ROM和移位寄存器,構(gòu)成了第一臺(tái)MCS—4微處理器,而后又推出了8位微處理器Intel8008,以及其它各公司相繼推出的8位微處理器。1976-1980低性能單片機(jī)階段。以1976年Intel公司推出的MCS—48系列為代表,采用將8位CPU、8位并行I/O接口、8位定時(shí)/計(jì)數(shù)器、RAM和ROM等集成于一塊半導(dǎo)體芯片上的單片結(jié)構(gòu),雖然其尋址范圍有限(不大于4KB),也沒(méi)有串行I/O,RAM、ROM容量小,中斷系統(tǒng)也較簡(jiǎn)單,但功能可滿(mǎn)足一般工業(yè)控制和智能化儀器、儀表等的需要。人民郵電出版社40

1980-1983

高性能單片機(jī)階段。這一階段推出的高性能8位單片機(jī)普遍帶有串行口,有多級(jí)中斷處理系統(tǒng),多個(gè)16位定時(shí)器/計(jì)數(shù)器。片內(nèi)RAM、ROM的容量加大,且尋址范圍可達(dá)64KB,個(gè)別片內(nèi)還帶有A/D轉(zhuǎn)換接口。1983-80年代末

16位單片機(jī)階段。1983年Intel公司又推出了高性能的16位單片機(jī)MCS-96系列,由于其采用了最新的制造工藝,使芯片集成度高達(dá)12萬(wàn)只晶體管/片。1990年代

單片機(jī)在集成度、功能、速度、可靠性、應(yīng)用領(lǐng)域等全方位向更高水平發(fā)展。人民郵電出版社41

到目前為止,中國(guó)的單片機(jī)應(yīng)用和嵌入式系統(tǒng)開(kāi)發(fā)走過(guò)了二十余年的歷程,隨著嵌入式系統(tǒng)逐漸深入社會(huì)生活各個(gè)方面,單片機(jī)課程的教學(xué)也有從傳統(tǒng)的8位處理器平臺(tái)向32位高級(jí)RISC處理器平臺(tái)轉(zhuǎn)變的趨勢(shì),但8位機(jī)依然難以被取代。國(guó)民經(jīng)濟(jì)建設(shè)、軍事及家用電器等各個(gè)領(lǐng)域,尤其是手機(jī)、汽車(chē)自動(dòng)導(dǎo)航設(shè)備、PDA、智能玩具、智能家電、醫(yī)療設(shè)備等行業(yè)都是國(guó)內(nèi)急需單片機(jī)人才的行業(yè)。行業(yè)高端目前有超過(guò)10余萬(wàn)名從事單片機(jī)開(kāi)發(fā)應(yīng)用的工程師,但面對(duì)嵌入式系統(tǒng)工業(yè)化的潮流和我國(guó)大力推動(dòng)建設(shè)“嵌入式軟件工廠”的機(jī)遇,我國(guó)的嵌入式產(chǎn)品要溶入國(guó)際市場(chǎng),形成產(chǎn)業(yè),則必將急需大批單片機(jī)應(yīng)用型人才,這為高職類(lèi)學(xué)生從事這類(lèi)高技術(shù)行業(yè)提供了巨大機(jī)會(huì)。人民郵電出版社42

2.典型MCU產(chǎn)品

人民郵電出版社43

4.4.2MCU的基本架構(gòu)程序內(nèi)存隨機(jī)存取內(nèi)存累積器緩存器堆棧及堆棧指針運(yùn)算邏輯單元輸入/輸出(I/O)模塊定時(shí)器計(jì)數(shù)器中斷人民郵電出版社44

4.4.3MCU的軟件開(kāi)發(fā)流程1.交叉開(kāi)發(fā)人民郵電出版社45

2.交叉編譯

交叉編譯是在宿主平臺(tái)上使用特殊的編譯器生成目標(biāo)平臺(tái)的可執(zhí)行代碼。GCC是現(xiàn)階段被廣泛使用的開(kāi)放源碼的編譯器。人民郵電出版社46

3.交叉調(diào)試(1)在線(xiàn)調(diào)試在線(xiàn)仿真片上調(diào)試ROM監(jiān)控器遠(yuǎn)程調(diào)試(2)模擬調(diào)試人民郵電出版社47

4.4.4MCU的軟件仿真技術(shù)1.仿真原理

基于Windows的匯編級(jí)的軟件仿真器是用軟件仿真實(shí)現(xiàn)各硬件的功能,并通過(guò)指令解釋的方法完成指令功能的仿真過(guò)程。一個(gè)仿真器就是一個(gè)特定的目標(biāo)板,在實(shí)際應(yīng)用過(guò)程中通??梢圆捎脴?gòu)件設(shè)計(jì)實(shí)現(xiàn),仿真構(gòu)建包含3個(gè)重點(diǎn)要素:內(nèi)部屬性、功能模塊及對(duì)外接口。內(nèi)部屬性對(duì)應(yīng)著各硬件的屬性;功能模塊是對(duì)硬件功能的仿真;對(duì)外接口是各硬件所能提供的相應(yīng)接口。人民郵電出版社48

2.仿真過(guò)程硬件初始化,生成目標(biāo)MCU的軟件仿真器;指令集的仿真;仿真調(diào)試。人民郵電出版社49

3.仿真分類(lèi)按照仿真時(shí)鐘與實(shí)際時(shí)鐘的時(shí)間比例關(guān)系,可分為實(shí)時(shí)仿真、亞實(shí)時(shí)仿真和超實(shí)時(shí)仿真;按照所研究的系統(tǒng)不同,可分為連續(xù)系統(tǒng)仿真和離散系統(tǒng)仿真;按照仿真手段,可分為軟硬件結(jié)合的仿真和軟件仿真,軟件仿真器又可再細(xì)分為結(jié)構(gòu)仿真器和指令集仿真器。人民郵電出版社50

4.4.5MCU的抗干擾技術(shù)1.硬件抗干擾技術(shù)抗電磁干擾;小信號(hào)傳輸中的畸變;減小電源的干擾;配置去耦電容;良好接地;印制線(xiàn)路板要合理布局;耦合技術(shù):光電隔離和電磁隔離。人民郵電出版社51

2.軟件抗干擾技術(shù)設(shè)計(jì)自檢程序;冗余技術(shù);軟件陷阱技術(shù);軟件“看門(mén)狗”技術(shù);程序自動(dòng)恢復(fù)入口方法;數(shù)字濾波法;干擾避開(kāi)法;開(kāi)關(guān)量輸入/輸出抗干擾措施。人民郵電出版社52

4.5可編程邏輯控制技術(shù)4.5.1PLC的組成結(jié)構(gòu)1.硬件結(jié)構(gòu)(1)CPUCPU速度和內(nèi)存容量是PLC的重要參數(shù),它們限制著控制規(guī)模。CPU主要由運(yùn)算器、控制器、寄存器及實(shí)現(xiàn)它們之間聯(lián)系的數(shù)據(jù)、控制及狀態(tài)總線(xiàn)構(gòu)成,CPU單元還包括外圍芯片、總線(xiàn)接口及有關(guān)電路。人民郵電出版社53

(2)I/O模塊常用I/O分類(lèi)如下:開(kāi)關(guān)量:按電壓水平分,有220VAC、110VAC、24VDC;按隔離方式分,有繼電器隔離和晶體管隔離。模擬量:按信號(hào)類(lèi)型分,有電流型、電壓型等;按精度分,有12bit、14bit、16bit等。特殊的I/O模塊:熱電阻、熱電偶、脈沖等模塊。人民郵電出版社54

(3)電源模塊PLC電源用于為PLC各模塊的集成電路提供工作電源。同時(shí)有的還為輸入電路提供24V的工作電源。電源輸入類(lèi)型有:交流電源(220VAC或110VAC),直流電源(常用為24VAC)。人民郵電出版社55

(4)PLC系統(tǒng)的其他設(shè)備編程設(shè)備人機(jī)界面輸入/輸出設(shè)備人民郵電出版社56

(5)PLC的通信聯(lián)網(wǎng)

PLC具有通信聯(lián)網(wǎng)功能,它使PLC與PLC之間、PLC與上位計(jì)算機(jī)以及其他智能設(shè)備之間能夠交流信息,形成一個(gè)統(tǒng)一的整體,實(shí)現(xiàn)分散集中控制。對(duì)于數(shù)字電源系統(tǒng)的系統(tǒng)集成來(lái)講,選擇數(shù)字控制信號(hào)的傳輸網(wǎng)絡(luò)的要求是:網(wǎng)絡(luò)必須是開(kāi)放的,以便設(shè)備的集成和系統(tǒng)的擴(kuò)展;必須較深入地了解該網(wǎng)絡(luò)標(biāo)準(zhǔn)的協(xié)議、機(jī)制;綜合考慮系統(tǒng)成本、設(shè)備兼容性、現(xiàn)場(chǎng)環(huán)境適用性等具體問(wèn)題,確定不同層次所使用的網(wǎng)絡(luò)標(biāo)準(zhǔn)。人民郵電出版社57

2.軟件組成PLC的軟件由系統(tǒng)程序和用戶(hù)程序組成。系統(tǒng)程序由PLC制造廠商設(shè)計(jì)編寫(xiě),并存入PLC的系統(tǒng)存儲(chǔ)器中,用戶(hù)不能直接對(duì)其讀寫(xiě)與更改。系統(tǒng)程序一般包括系統(tǒng)診斷程序、輸入處理程序、編譯程序、信息傳送程序、監(jiān)控程序等。PLC的用戶(hù)程序是用戶(hù)利用PLC的編程語(yǔ)言,根據(jù)控制要求編制的程序。在PLC的應(yīng)用中,最重要的是用PLC的編程語(yǔ)言來(lái)編寫(xiě)用戶(hù)程序,以實(shí)現(xiàn)控制目的。人民郵電出版社58

4.5.2PLC的工作原理公共處理:CPU進(jìn)行復(fù)位監(jiān)視定時(shí)器、硬件檢查、用戶(hù)內(nèi)存檢查等操作;執(zhí)行用戶(hù)程序:CPU逐條解釋和執(zhí)行用戶(hù)程序;掃描周期的計(jì)算;刷新I/O:CPU主要做讀各輸入點(diǎn)的狀態(tài)和讀輸出元件映像寄存器的狀態(tài)兩件事;外設(shè)端口服務(wù):CPU完成與外設(shè)端口連接到外圍設(shè)備或通信適配器的通信處理。人民郵電出版社59

人民郵電出版社60

4.6可編程邏輯器件4.6.1可編程邏輯器件的發(fā)展1.可編程邏輯器件的發(fā)展歷程70年代:出現(xiàn)只讀存儲(chǔ)器PROM(ProgrammableReadonlyMemory),可編程邏輯陣列器件PLA(ProgrammableLogicArray);70年代末:AMD推出了可編程陣列邏輯PAL(ProgrammableArrayLogic);80年代:Lattice公司推出了通用陣列邏輯GAL(GenericArrayLogic);人民郵電出版社61

80年代中:Xilinx公司推出了現(xiàn)場(chǎng)可編程門(mén)陣列FPGA(FieldProgrammableGateArray)。Altera公司推出了可擦除的可編程邏輯器件EPLD(EraseProgrammableLogicDevice),集成度高,設(shè)計(jì)靈活,可多次反復(fù)編程;90年代初:Lattice公司又推出了在系統(tǒng)可編程概念I(lǐng)SP及其在系統(tǒng)可編程大規(guī)模集成器件ispLSI);現(xiàn)以Xilinx、Altera、Lattice為主要廠商,生產(chǎn)的FPGA單片可達(dá)上千萬(wàn)門(mén)、速度可實(shí)現(xiàn)550MHz,采用65nm甚至更高的光刻技術(shù)。人民郵電出版社62

2.可編程邏輯器件的發(fā)展趨勢(shì)向高密度、高速度、寬頻帶的百萬(wàn)門(mén)系統(tǒng)的方向發(fā)展;向系統(tǒng)可重構(gòu)的方向發(fā)展;向低電壓、低功耗和綠色化方向發(fā)展;向高速可預(yù)測(cè)延時(shí)器件的方向發(fā)展;向混合可編程技術(shù)方向發(fā)展。人民郵電出版社63

3.可編程邏輯結(jié)構(gòu)可編程邏輯器件(PLD)由輸入緩沖器、與陣列、或陣列、輸出緩沖器等4部分功能電路組成。人民郵電出版社64

與陣列和或陣列是PLD的核心,通過(guò)用戶(hù)編程可實(shí)現(xiàn)“與-或”邏輯。其中,與陣列產(chǎn)生邏輯函數(shù)所需的與項(xiàng)(乘積項(xiàng)),而或陣列選擇所需的與項(xiàng),實(shí)現(xiàn)或邏輯,構(gòu)成“與-或”邏輯函數(shù)(乘積項(xiàng)之和)。輸入緩沖電路主要對(duì)輸入變量進(jìn)行預(yù)處理,為與陣列提供互補(bǔ)的輸入變量,即原變量和反變量。

人民郵電出版社65

輸出緩沖電路主要用來(lái)對(duì)輸出的信號(hào)進(jìn)行處理。對(duì)于不同的PLD,其輸出緩沖電路的結(jié)構(gòu)后很大的差別,通常含有三態(tài)門(mén)、寄存器、邏輯宏單元等。用戶(hù)可根據(jù)需要進(jìn)行編程,實(shí)現(xiàn)不同類(lèi)型的輸出結(jié)構(gòu),即能輸出組合邏輯信號(hào),也能輸出時(shí)序邏輯信號(hào),并能決定輸出信號(hào)的極性。輸出緩沖電路還可以把某些輸出端,經(jīng)反饋通路引回到與陣列,使輸出端具有I/O功能。人民郵電出版社66

4.6.2可編程邏輯器件的技術(shù)特點(diǎn)研制周期縮短開(kāi)發(fā)成本降低設(shè)計(jì)靈活可靠人民郵電出版社67

4.6.3可編程邏輯器件的編程元件1.熔絲和反熔絲開(kāi)關(guān)元件采用熔絲編程工藝的PLD有PROM、PAL、EPLD及FPGA的一部分產(chǎn)品。這種編程方式速度較高,但功耗大。它的主要缺點(diǎn)是熔絲燒斷后不能恢復(fù),因此只能一次性編程,不能重復(fù)編程和修改。一次性編程的PLD不適宜在系統(tǒng)的研制、開(kāi)發(fā)和實(shí)驗(yàn)階段使用。另外,熔絲元件要留出較大的保護(hù)空間,因此占用芯片的面積也比較大。人民郵電出版社68

人民郵電出版社69

2.紫外線(xiàn)擦除、電改寫(xiě)的EPROM(UVEPROM)紫外線(xiàn)擦除、電改寫(xiě)的EPROM采用了浮柵編程技術(shù)。它的存儲(chǔ)單元多采用N溝道疊柵MOS管(SIMOS),除控制柵外,還有一個(gè)無(wú)外引線(xiàn)的柵極,稱(chēng)為浮柵。當(dāng)浮柵上無(wú)電荷時(shí),給控制柵(接在行選擇線(xiàn)上)加上控制電壓,MOS管導(dǎo)通;而當(dāng)浮柵上帶有負(fù)電荷時(shí),則襯底表面感應(yīng)的是正電荷,使得MOS管的開(kāi)啟電壓變高;如果給控制柵加上同樣的控制電壓,MOS管仍處于截止?fàn)顟B(tài)。由此可見(jiàn),SIMOS管可以利用浮柵是否積累有負(fù)電荷來(lái)存儲(chǔ)二值數(shù)據(jù)。人民郵電出版社70

在寫(xiě)入數(shù)據(jù)前,浮柵是不帶電的,要使浮柵帶負(fù)電荷,必須在SIMOS管的漏、柵極加上足夠高的電壓(如25V),使漏極及襯底之間的PN結(jié)反向擊穿,產(chǎn)生大量的高能電子。這些電子穿過(guò)很薄的氧化絕緣層堆積在浮柵上,從而使浮柵帶有負(fù)電荷。當(dāng)移去外加電壓后,浮柵上的電子沒(méi)有放電回路,能夠長(zhǎng)期保存。當(dāng)用紫外線(xiàn)或X射線(xiàn)照射時(shí),浮柵上的電子形成光電流而泄放,從而恢復(fù)寫(xiě)入前的狀態(tài)。照射一般需要15至20分鐘。為了便于照射擦除,芯片的封裝外殼裝有透明的石英蓋板。EPROM的擦除為一次全部擦除,數(shù)據(jù)寫(xiě)入需要通用或?qū)S玫木幊唐?。人民郵電出版社71

SIMOS管的結(jié)構(gòu)原理和符號(hào)人民郵電出版社72

3.電擦除、電編程的EEPROMEEPROM是采用另一種浮柵技術(shù)生產(chǎn)的可編程存儲(chǔ)器。它與疊柵MOS管的不同之處在于浮柵延長(zhǎng)區(qū)與漏區(qū)之間的交疊處有一個(gè)厚度約為80埃的薄絕緣層,當(dāng)漏極接地,控制柵加上足夠高的電壓時(shí),交疊區(qū)將產(chǎn)生一個(gè)很強(qiáng)的電場(chǎng),在強(qiáng)電場(chǎng)的作用下,電子通過(guò)絕緣層到達(dá)浮柵,使浮柵帶負(fù)電荷。這一現(xiàn)象稱(chēng)為“隧道效應(yīng)”,因此,該MOS管也稱(chēng)為隧道MOS管。相反,當(dāng)控制柵接地漏極加一正電壓,則產(chǎn)生與上述相反的過(guò)程,即浮柵放電。人民郵電出版社73

與SIMOS管相比,隧道MOS管也是利用浮柵是否積累有負(fù)電荷來(lái)存儲(chǔ)二值數(shù)據(jù)的,不同的是隧道MOS管是利用電擦除的,并且擦除的速度要快得多。EEPROM電擦除的過(guò)程就是改寫(xiě)過(guò)程,它是以字為單位進(jìn)行的。EEPROM具有ROM的非易失性,又具備類(lèi)似RAM的功能,可以隨時(shí)改寫(xiě)(可重復(fù)擦寫(xiě)1萬(wàn)次以上)。目前,大多數(shù)EEPROM芯片內(nèi)部都備有升壓電路。因此,只需提供單電源供電,便可進(jìn)行讀、擦除/寫(xiě)操作,為數(shù)字系統(tǒng)的設(shè)計(jì)和在線(xiàn)調(diào)試提供了極大的方便。人民郵電出版社74

EEPROM的結(jié)構(gòu)示意圖人民郵電出版社75

4.閃存的特性與編程SiO2快閃存儲(chǔ)器存儲(chǔ)單元的MOS管結(jié)構(gòu)與SIMOS管類(lèi)似,但有兩點(diǎn)不同,一是快閃存儲(chǔ)器存儲(chǔ)單元MOS管的源極N+區(qū)大于漏極N+區(qū),而SIMOS管的源極N+區(qū)和漏極N+區(qū)是對(duì)稱(chēng)的;二是浮柵到P型襯底間的氧化絕緣層比SIMOS管的更薄。這樣,可以通過(guò)在源極上加一正電壓,使浮柵放電,從而擦除寫(xiě)入的數(shù)據(jù)。人民郵電出版社76

由于快閃存儲(chǔ)器中存儲(chǔ)單元MOS管的源極是連接在一起的,所以不能象EEPROM那樣按字擦除,而是類(lèi)似EPROM那樣整片擦除或分塊擦除。整片擦除只需要幾秒鐘,不像EPROM那樣需要照射15到20分鐘??扉W存儲(chǔ)器中數(shù)據(jù)的擦除和寫(xiě)入是分開(kāi)進(jìn)行的,數(shù)據(jù)寫(xiě)入方式與EPROM相同,需輸入一個(gè)較高的電壓,因此要為芯片提供兩組電源。一個(gè)字的寫(xiě)入時(shí)間約為200微秒,一般可以擦除/寫(xiě)入100次以上。人民郵電出版社77

快閃存儲(chǔ)器存儲(chǔ)單元MOS管剖面結(jié)構(gòu)示意圖人民郵電出版社78

5.SRAM編程元件

與浮柵型熔絲結(jié)構(gòu)基本相同。SRAM編程技術(shù)是在FPGA器件中采用的主要編程工藝之一。SRAM型的FPGA是易失性的,斷電后其內(nèi)部編程數(shù)據(jù)(構(gòu)造代碼)將丟失,需在外部配接ROM存放FPGA的編程數(shù)據(jù)??煞磸?fù)編程,實(shí)現(xiàn)系統(tǒng)功能的動(dòng)態(tài)重構(gòu)每次上電需重新下載,實(shí)際應(yīng)用時(shí)需外掛EEPROM用于保存程序。人民郵電出版社79

4.7CPLD/FPGA的發(fā)展與應(yīng)用4.7.1FPGA的發(fā)展1.FPGA在國(guó)內(nèi)的發(fā)展與國(guó)外FPGA技術(shù)的迅速發(fā)展相比,國(guó)內(nèi)在可編程邏輯器件領(lǐng)域還處于起步階段。目前我國(guó)對(duì)于FPGA的研究還是以應(yīng)用為主,而在產(chǎn)品制造上還處于空白。由于目前國(guó)內(nèi)采用FPGA器件全部依靠進(jìn)口,嚴(yán)重制約了我國(guó)的經(jīng)濟(jì)、技術(shù)上的發(fā)展。人民郵電出版社80

2.FPGA的發(fā)展趨勢(shì)大容量、低電壓、低功耗FPGA系統(tǒng)級(jí)高密度FPGAFPGA和ASIC出現(xiàn)相互融合動(dòng)態(tài)可重構(gòu)FPGA人民郵電出版社81

3.FPGA的基本結(jié)構(gòu)

FPGA由可編程邏輯塊(CLB)、輸入/輸出模塊(IOB)及可編程互連資源(PIR)等三種可編程電路和一個(gè)SRAM結(jié)構(gòu)的配置存儲(chǔ)單元組成。CLB是實(shí)現(xiàn)邏輯功能的基本單元,它們通常規(guī)則地排列成一個(gè)陣列,散布于整個(gè)芯片中;可編程輸入/輸出模塊(IOB)主要完成芯片上的邏輯與外部引腳的接口,它通常排列在芯片的四周;可編程互連資源(IR)包括各種長(zhǎng)度的連線(xiàn)線(xiàn)段和一些可編程連接開(kāi)關(guān),它們將各個(gè)CLB之間或CLB與IOB之間以及IOB之間連接起來(lái),構(gòu)成特定功能的電路。人民郵電出版社82

FPGA的基本結(jié)構(gòu)圖人民郵電出版社83

4.FPGA設(shè)計(jì)的指導(dǎo)原則原則一:面積與速度的平衡與互換應(yīng)在滿(mǎn)足設(shè)計(jì)時(shí)序和工作頻率要求的前提下,占用最小的芯片面積;或者在所規(guī)定的面積下,使得設(shè)計(jì)的時(shí)序余量最大,能夠在更高的頻率上穩(wěn)定運(yùn)行。通常,在資源足夠的情況下,更多是選擇速度的最優(yōu),這也是FPGA的特點(diǎn)。在具體設(shè)計(jì)中,應(yīng)根據(jù)具體性能指標(biāo)要求,在保證系統(tǒng)功能和性能的同時(shí),降低資源消耗從而降低功耗和成本。

人民郵電出版社84

原則二:硬件原則首先,要注意FPGA的邏輯設(shè)計(jì)所采用的硬件描述語(yǔ)言VHDL或Verilog與軟件語(yǔ)言C和C++有本質(zhì)區(qū)別,在使用硬件描述語(yǔ)言進(jìn)行設(shè)計(jì)時(shí),不應(yīng)片面追求代碼的簡(jiǎn)潔。其次,要采用正確的編碼方法。要對(duì)所需實(shí)現(xiàn)的硬件電路的結(jié)構(gòu)和相互連接有清晰的理解和構(gòu)想,然后再用適當(dāng)?shù)腣HDL語(yǔ)言表達(dá)出來(lái)。實(shí)際上綜合軟件對(duì)所寫(xiě)的代碼在進(jìn)行推論的時(shí)候,得到的硬件結(jié)果會(huì)因編碼方式的不會(huì)而不同,直接影響硬件的實(shí)現(xiàn)。

人民郵電出版社85

原則三:系統(tǒng)原則FPGA作為硬件系統(tǒng)設(shè)計(jì),應(yīng)該對(duì)設(shè)計(jì)全局進(jìn)行宏觀上的合理安排,包括邏輯功能模塊劃分、時(shí)鐘域信號(hào)的產(chǎn)生和驅(qū)動(dòng)、模塊復(fù)用、時(shí)序或引腳約束、面積速度折衷等。這些系統(tǒng)上的考慮不僅關(guān)系到是否能夠最大程度地發(fā)揮項(xiàng)目成員的協(xié)同設(shè)計(jì)能力,而且直接決定著設(shè)計(jì)的綜合、實(shí)現(xiàn)效果和相關(guān)的操作時(shí)間。模塊化設(shè)計(jì)是系統(tǒng)原則的一個(gè)很好體現(xiàn),它是自頂向下、模塊劃分、分工協(xié)作設(shè)計(jì)思路的集中體現(xiàn),是大型復(fù)雜系統(tǒng)的推薦設(shè)計(jì)方法。圖1是模塊化設(shè)計(jì)的簡(jiǎn)單流程。

人民郵電出版社86

原則三:同步原則在設(shè)計(jì)電路時(shí),可以有異步電路和同步電路兩種實(shí)現(xiàn)方法。異步電路使用組合邏輯電路實(shí)現(xiàn),沒(méi)有統(tǒng)一的時(shí)鐘信號(hào),容易產(chǎn)生毛刺和競(jìng)爭(zhēng)冒險(xiǎn);同步時(shí)序電路使用組合邏輯和觸發(fā)器實(shí)現(xiàn)電路功能,主要信號(hào)和輸出信號(hào)都由時(shí)鐘驅(qū)動(dòng)觸發(fā)器產(chǎn)生,能夠避免毛刺,信號(hào)穩(wěn)定?!馁Y源使用方面考慮,推薦使用同步設(shè)計(jì)。雖然在ASIC設(shè)計(jì)中同步電路比異步電路占用的面積大,但是在FPGA中,是以邏輯單元衡量電路面積的,所以同步設(shè)計(jì)并不比異步設(shè)計(jì)浪費(fèi)資源。人民郵電出版社87

從延遲設(shè)計(jì)方面考慮,異步電路的延時(shí)靠門(mén)延時(shí)來(lái)實(shí)現(xiàn),比較難預(yù)測(cè);同步電路使用計(jì)數(shù)器或觸發(fā)器實(shí)現(xiàn)延時(shí)。同步設(shè)計(jì)時(shí)鐘信號(hào)的質(zhì)量和穩(wěn)定性決定了同步時(shí)序電路的性能,F(xiàn)PGA的內(nèi)部有專(zhuān)用的時(shí)鐘資源,如全局時(shí)鐘布線(xiàn)資源、專(zhuān)用的時(shí)鐘管理模塊DUL、PLL等。目前商用的FPGA都是面向同步的電路設(shè)計(jì)而優(yōu)化的,同步時(shí)序電路可以很好地避免毛刺,提倡在設(shè)計(jì)中全部使用同步邏輯電路。特別注意,不同的時(shí)鐘域的接口需要進(jìn)行同步。

人民郵電出版社88

4.7.2CPLD/FPGA開(kāi)發(fā)流程人民郵電出版社89

4.7.3CPLD/FPGA開(kāi)發(fā)工具設(shè)計(jì)輸入編輯器HDL綜合器仿真器適配器(布局布線(xiàn)器)下載器(編程器)人民郵電出版社90

4.7.4CPLD與FPGA的應(yīng)用優(yōu)勢(shì)比較1.CPLD/FPGA的主要特點(diǎn)速度快規(guī)模大靈活性好設(shè)計(jì)開(kāi)發(fā)簡(jiǎn)單功能強(qiáng)大人民郵電出版社91

2.CPLD與FPGA的性能比較人民郵電出版社92

FPGA采用SRAM進(jìn)行功能配置,可重復(fù)編程,但系統(tǒng)掉電后,SRAM中的數(shù)據(jù)丟失。因此,需在FPGA外加EPROM,將配置數(shù)據(jù)寫(xiě)入其中,系統(tǒng)每次上電自動(dòng)將數(shù)據(jù)引入SRAM中。CPLD器件一般采用EEPROM存儲(chǔ)技術(shù),可重復(fù)編程,并且系統(tǒng)掉電后,EEPROM中的數(shù)據(jù)不會(huì)丟失,適于數(shù)據(jù)的保密。FPGA器件含有豐富的觸發(fā)器資源,易于實(shí)現(xiàn)時(shí)序邏輯,如果要求實(shí)現(xiàn)較復(fù)雜的組合電路則需要幾個(gè)CLB結(jié)合起來(lái)實(shí)現(xiàn)。CPLD的與或陣列結(jié)構(gòu),使其適于實(shí)現(xiàn)大規(guī)模的組合功能,但觸發(fā)器資源相對(duì)較少。人民郵電出版社93

FPGA為細(xì)粒度結(jié)構(gòu),CPLD為粗粒度結(jié)構(gòu)。FPGA內(nèi)部有豐富連線(xiàn)資源,CLB分塊較小,芯片的利用率較高。CPLD的宏單元的與或陣列較大,通常不能完全被應(yīng)用,且宏單元之間主要通過(guò)高速數(shù)據(jù)通道連接,其容量有限,限制了器件的靈活布線(xiàn),因此CPLD利用率較FPGA器件低。FPGA為非連續(xù)式布線(xiàn),CPLD為連續(xù)式布線(xiàn)。FPGA器件在每次編程時(shí)實(shí)現(xiàn)的邏輯功能一樣,但走的路線(xiàn)不同,因此延時(shí)不易控制,要求開(kāi)發(fā)軟件允許工程師對(duì)關(guān)鍵的路線(xiàn)給予限制。CPLD每次布線(xiàn)路徑一樣,CPLD的連續(xù)式互連結(jié)構(gòu)利用具有同樣長(zhǎng)度的一些金屬線(xiàn)實(shí)現(xiàn)邏輯單元之間的互連。連續(xù)式互連結(jié)構(gòu)消除了分段式互連結(jié)構(gòu)在定時(shí)上的差異,并在邏輯單元之間提供快速且具有固定延時(shí)的通路。CPLD的延時(shí)較小。人民郵電出版社94

4.7.5硬件描述語(yǔ)言1.VHDL語(yǔ)言VHDL(Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)誕生于1982年。1987年底,VHDL被IEEE和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語(yǔ)言。人民郵電出版社95

VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL的語(yǔ)言形式和描述風(fēng)格與句法是十分類(lèi)似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱(chēng)設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱(chēng)可視部分,及端口)和內(nèi)部(或稱(chēng)不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開(kāi)發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。人民郵電出版社96

(1)VHDL的設(shè)計(jì)流程文本編輯用任何文本編輯器都可以進(jìn)行,也可以用專(zhuān)用的文本編輯環(huán)境,通常VHDL文本保存為.vhd文件;功能仿真將文件調(diào)入HDL仿真軟件進(jìn)行功能仿真,檢查邏輯功能是否爭(zhēng)取?。ㄒ卜Q(chēng)為前仿真,對(duì)簡(jiǎn)單的電路可以跳過(guò)這一步,只在布線(xiàn)完成后進(jìn)行時(shí)序仿真);邏輯綜合將源文件調(diào)入邏輯綜合軟件進(jìn)行綜合,即把語(yǔ)言綜合成最簡(jiǎn)的布爾表達(dá)式和信號(hào)連接關(guān)系,邏輯綜合軟件會(huì)生成.edf的EDA工業(yè)標(biāo)準(zhǔn)文件;人民郵電出版社97

布局布線(xiàn)將.edf文件調(diào)入PLD廠家提供的軟件中進(jìn)行布線(xiàn),即把設(shè)計(jì)好的邏輯安放到FPGA中;時(shí)序仿真需要利用在布局布線(xiàn)中獲得的精確參數(shù),用仿真軟件驗(yàn)證電路的時(shí)序(也稱(chēng)為后仿真);仿真下載確認(rèn)仿真無(wú)誤后,將文件下載到芯片中。人民郵電出版社98

(2)VHDL設(shè)計(jì)單元模型

一個(gè)完整的VHDL程序包括:實(shí)體、結(jié)構(gòu)體、配置、程序包和庫(kù)。實(shí)體和結(jié)構(gòu)體是VHDL設(shè)計(jì)文件的兩個(gè)基本組成部分:實(shí)體部分描述設(shè)計(jì)系統(tǒng)的外部接口信號(hào)(即輸入/輸出信號(hào));結(jié)構(gòu)體用于描述系統(tǒng)的內(nèi)部電路;配置用于從庫(kù)中選取所需元件安裝到設(shè)計(jì)單元的實(shí)體中;包存放各設(shè)計(jì)模塊能共享的數(shù)據(jù)類(lèi)型、常數(shù)、子程序等;庫(kù)用于存放已編譯的實(shí)體、結(jié)構(gòu)體、包集合和配置。人民郵電出版社99

庫(kù)、程序包實(shí)體結(jié)構(gòu)體進(jìn)程或其他并行結(jié)構(gòu)結(jié)構(gòu)體進(jìn)程或

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論