信號頻率特征檢測儀_第1頁
信號頻率特征檢測儀_第2頁
信號頻率特征檢測儀_第3頁
信號頻率特征檢測儀_第4頁
信號頻率特征檢測儀_第5頁
已閱讀5頁,還剩13頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

摘要:從“十一五”提出加速開展鐵路和城市交通規(guī)劃至今,中國逐步跨入“高鐵時(shí)代”,高鐵的出現(xiàn)加快了鐵路客運(yùn)和貨運(yùn)的流動(dòng)速度,提高了鐵路運(yùn)輸?shù)目傮w效率,為我國的經(jīng)濟(jì)開展和社會進(jìn)步貢獻(xiàn)了新的力量。但高速鐵路列車運(yùn)行速度的逐步提升以及列車運(yùn)行密度的不斷增加,也給鐵路部門對列車的控制和調(diào)度提出了更高的要求。軌道電路是鐵路運(yùn)輸系統(tǒng)十分重要的組成局部肩負(fù)著對列車運(yùn)行控制和信息傳遞的重任,而在軌道電路中,軌道移頻信號是鐵路電務(wù)與機(jī)車交流的“語言:通過軌道移頻信號不僅可以判斷出列車的位置,也可以檢測出列車的運(yùn)行速度,向列車傳遞控制信息。因此,研發(fā)一款滿足目前高速鐵路移頻信號檢測要求的便攜式軌道移頻信號檢測儀,能夠快速、準(zhǔn)確、便捷的檢測出軌道移頻信號的特征參數(shù),及時(shí)發(fā)現(xiàn)移頻設(shè)備故障,對維護(hù)移頻設(shè)備穩(wěn)定工作,保證列車平安運(yùn)行,具有重要的現(xiàn)實(shí)意義。目錄第一章緒論2論文研究的背景以及研究現(xiàn)狀2論文研究的目的及意義3論文內(nèi)容和章節(jié)安排3第二章總體設(shè)計(jì)52.1基本原理52.L1FPGA原理52.L2DDS原理5系統(tǒng)組成6控制單元6存儲及數(shù)據(jù)轉(zhuǎn)換單元7顯示模塊8混頻模塊81,損益轉(zhuǎn)換;8處理數(shù)據(jù)。.3顯示模塊要顯示所設(shè)置的中心頻率,運(yùn)行狀態(tài)帶寬等,需要操作和維護(hù)局部顯示器。通常不同類型的液晶根據(jù)點(diǎn)矩陣的實(shí)際特征線或液晶寬度來命名。這種類型的液晶具有缺點(diǎn),即應(yīng)用范圍相對較小,設(shè)計(jì)上應(yīng)更加全面。本設(shè)計(jì)采用LCD12864作為液晶屏。LCD12864共用串行接口與并行接口,使用者可以選擇其中一種工作模式。液晶型號LCD12864F可顯示字符漢字和圖形,其擁有128個(gè)集成字符(8*16點(diǎn)陣),和8192個(gè)漢字(16*16點(diǎn)陣)和RAM64*256點(diǎn)陣,電壓電源為3.3~5V,內(nèi)置脈沖電路不需要負(fù)電壓。顯示內(nèi)容為128歹肚64行。屏幕顏色為黃色和綠色。LCD類型是STN。它可以通過8位并行接口或3位串行接口連接到MCUo框架尺寸為93mm*70mm*12.5mm,視線為73mm*39mm。點(diǎn)之間的距離為0.52mm*0.52mm,點(diǎn)的尺寸為0.48mm*0.48mm。12864F的邏輯運(yùn)算為4.5?5.5V。如果模塊反面R4電阻的電阻為0,那么該模塊可以直接與3V單片機(jī)連接??梢酝ㄟ^更改模塊反面的R1電阻值來調(diào)整屏幕比照度。2.3混頻模塊混頻模塊的原理是在時(shí)間域中乘以輸入信號。關(guān)鍵績效指標(biāo):.損益轉(zhuǎn)換;.噪聲系數(shù):因?yàn)樗匀辉谙到y(tǒng)的前端,NF對系統(tǒng)噪聲有較大的影響。適用于適配器:(1)單邊帶噪聲系數(shù)(2)雙邊帶噪聲系數(shù)在正常情況下,雙邊帶的噪聲系數(shù)小于單邊帶的噪聲。3、線性度:線性度是系統(tǒng)中一個(gè)重要的指標(biāo);4、隔離(平衡);5、其他性能參數(shù):阻抗,功耗;6、混頻器的典型指標(biāo):SSBNF:12dBIIP3:+5dB增益:lOdBo本設(shè)計(jì)中,用乘法器形成混頻器電路。AD835為乘數(shù),振動(dòng)信號和輸入信號乘以兩個(gè)頻率和差分信號,以實(shí)現(xiàn)混合效應(yīng)。與最常見的mcl569相比,兩輸入信號可以具有±1V的電容,并且可以有效抑制噪聲。但me1569的最大信號振幅有兩個(gè)輸入端,分別為15MV和100MV,信噪比擬低。AD835具有更大的動(dòng)態(tài)范圍和更靈活的制作方式,更適合本設(shè)計(jì)主題。濾波模塊要輸出混頻器以獲得非中頻信號,需在后面添加窄帶濾波器?;祛l器輸入頻帶很大,濾波器功能是將測試中的信號頻率改變?yōu)橹蓄l,基于中頻變換,得到幅度。再對轉(zhuǎn)換到中頻的所有信號進(jìn)行分析以獲得頻譜。中頻輸入信號的功率和幅度值是線性的。如果輸入信號增加,它也會增加。所以我們有設(shè)計(jì)的檢測中頻信號的解決方案可行。設(shè)計(jì)中還應(yīng)存在中頻信號的放大過程。許多混頻器不會輸出具有豐富兼的諧波分量信號,只對一個(gè)中頻感興趣。該濾波器以中間的帶通濾波頻率為中心,從其他濾波信號提取中頻信號。使用濾波器時(shí),我們關(guān)注幾個(gè)因素:中心頻率和帶寬。該系統(tǒng)的核心為OPA228作為源帶通濾波器。其功能是僅允許信號通過并抑制流量范圍。檢波模塊有效值檢波電路可以測量濾波器輸出信號的有效值,這樣可以更容易地獲得A/D并存儲RMS值供以后參考。使用二極管和電容器創(chuàng)立簡單的測試電路。該方法涉及輸入信號幅度較大,輸入電壓的峰值與輸出電壓成線性關(guān)系。但是,如果輸入信號幅度很小,那么測量數(shù)據(jù)不準(zhǔn)確。通過集成的RMS轉(zhuǎn)換芯片TLC1968設(shè)計(jì),其具有高頻響應(yīng)的高分辨率RMS適配器可滿足該系統(tǒng)的要求。對于具有簡單誤差和大帶寬的各種復(fù)雜波形,相同計(jì)算的RMS轉(zhuǎn)換率可以具有實(shí)際RMS值??梢允褂眯酒瑘?zhí)行峰值輸入信號檢測,直接計(jì)算峰值檢波器和最大正弦輸出信號經(jīng)過分析,TLC1968可直接檢測混合固定頻率信號的有效值,提高了系統(tǒng)集成度,便于擴(kuò)展頻段。掃頻模塊頻率特性測試的本質(zhì)是頻率掃描信號的出現(xiàn),它向給定頻率提供正弦信號,作為網(wǎng)絡(luò)測試的公共輸入。系統(tǒng)編程采用CPLD芯片,采用EDA技術(shù)和單片機(jī)控制技術(shù)構(gòu)成正弦波形掃描裝置。直接數(shù)字頻率控制是一種純粹的數(shù)字方法。因?yàn)镈DS可保持固定相,并且可以實(shí)現(xiàn)幅度,頻率和相位控制,該DDS可編程,DDS技術(shù)是一種變換器D/A,和累加器相位,同表ROM正弦組裝線性頻率控制字。其本質(zhì)為相位累加器。當(dāng)增量為1,累加器字寬為32時(shí),輸出地址對應(yīng)于縱波1的相位分辨率,并對ROM表輸入不同瞬時(shí)相位輸出的幅度編碼。使用FPGA的DDS的實(shí)施過程中的基本流程如下:接口VXI系存儲在RAM固定數(shù)據(jù)存儲器產(chǎn)生的數(shù)據(jù),然后使用相位累加器來計(jì)算并確定在RAM存儲器中的數(shù)據(jù)存儲地址,最后的輸出數(shù)據(jù)來控制頻率,最后通過轉(zhuǎn)換DAC實(shí)現(xiàn)任意波形的輸出。該技術(shù)在數(shù)字通信系統(tǒng)中具有廣泛的應(yīng)用。它具有連續(xù)相變,高頻精度和快速頻率變化的特點(diǎn)。DDS電路采用FPGA設(shè)計(jì),可通過軟改參數(shù)使電路設(shè)計(jì)更加方便。FPGA設(shè)計(jì)的DDS電路比DDS芯片更靈活,適用于芯片內(nèi)置的應(yīng)用,設(shè)計(jì)和機(jī)箱。系統(tǒng)的本錢不會增加太多。因此,F(xiàn)PGA的設(shè)計(jì)更具本錢效益。波頻合成原理:直接數(shù)字合成器(DDS),這種技術(shù)在現(xiàn)階段比擬典型,應(yīng)用范圍也很廣。我們可以通過DDS生成隨機(jī)波形,正弦波頻率可以不同,并且可以使用其來控制所生成波形的初始相位。DDS的原理框圖如2-2所示。圖2-2DDS原理圖本系統(tǒng)由相位聚合器,低通濾波器,D/A適配器和波形存儲器組成。參考時(shí)鐘控制在相位組件中輸入的頻率控制字。其原理為數(shù)據(jù)用作標(biāo)簽,表搜索表標(biāo)題生成相空間,然后轉(zhuǎn)換相位容量,然后執(zhí)行相位轉(zhuǎn)換為幅度,從而輸出不同的相位編碼。相位聚合器通過N位加法和相位寄存器累加。每當(dāng)脈沖來自一時(shí)鐘時(shí),加法器就會添加來自相位寄存器和頻率控制的數(shù)據(jù)輸出,最后將結(jié)果發(fā)送到相位累加器數(shù)據(jù)的輸入,該數(shù)據(jù)在之前設(shè)置動(dòng)詞,數(shù)據(jù)在一小時(shí)后重新饋送到相反的輸入,然后通知繼續(xù)添加頻率和相位控制字?jǐn)?shù)據(jù)。同時(shí),累加結(jié)果高于控制字相位的M位。另外,使用其結(jié)果作為波長數(shù)據(jù)的地址標(biāo)題,以便相位在參考時(shí)鐘的影響下累積。當(dāng)它已滿時(shí),會發(fā)生溢出,從而完成一個(gè)周期,它便是復(fù)合信號的周期,直接數(shù)字合成信號的頻率也就是收集器的溢出頻率。本章小結(jié)本章主要完成了系統(tǒng)的總體設(shè)計(jì),介紹了信號頻率特征檢測儀的總體設(shè)計(jì)思路。之后介紹了本文總體設(shè)計(jì)與基本原理。最后介紹了本設(shè)計(jì)的系統(tǒng)組成以及混頻模塊,濾波模塊,檢波模塊及掃頻模塊的設(shè)計(jì),闡述了選用這幾種方案的依據(jù)以及效用,最后完成了本文的整體設(shè)計(jì),為后續(xù)的研究工作做了充分的知識儲藏和理論基礎(chǔ)。第三章硬件設(shè)計(jì)硬件總體設(shè)計(jì)本系統(tǒng)是信號頻率特征儀。輸入信號首先由前置放大器電路放大,然后與掃頻器的信號發(fā)送到混頻器,混合信號被放大并發(fā)送到濾波器濾波,僅發(fā)送423kHz信號作為信號頻率輸出,再由檢波電路獲得的信號有效值的輸出被發(fā)送到高速AD轉(zhuǎn)換模塊,并且把這些有效值被存儲在FPGA的RAM中。MSP430MCU可以讀取FPGA的正確值,并在處理后將其發(fā)送到示波器的Y軸。MCU還可以通過內(nèi)部DA生成正斜率三角波,并將其發(fā)送到示波器的X軸。兩者必須做到準(zhǔn)確同步,示波器的頻譜圖才可以正確得到。同時(shí),通過按鍵調(diào)節(jié)可以調(diào)整掃描信號的中心頻率和帶寬,并可以通過LCD屏幕顯示測量的中心頻率,帶寬和相應(yīng)的動(dòng)作。硬件電路的總體設(shè)計(jì)如3-1所示。圖3-1系統(tǒng)的組成框圖主要控制模塊設(shè)計(jì)本系統(tǒng)主要的控制模塊是由MSP430F169和外圍電路構(gòu)成。單片機(jī)最小系統(tǒng)主芯片MSP430F169最小系統(tǒng)如圖3-2,混合信號控制器功率非常低,可以在極低功率條件下工作。該控制器具有強(qiáng)大的處理能力和豐富的外圍設(shè)備。借助閃存單片機(jī)器也可以進(jìn)行有效的在線編程和仿真。MSP430F169芯片可用于不同頻率的多個(gè)時(shí)鐘源。本設(shè)計(jì)中,使用了8M和32.768K晶體,8M晶體通過XT2IN和XT20UT引腳連接到MSP430芯片,兩個(gè)電容連接到20pF形成電容,構(gòu)成了XT2CLKo32.768K晶體兩端連接到MSP430芯片的XIN和XOUT引腳,連接兩個(gè)20pF的電容構(gòu)成LFXTICLK配置。同時(shí),本芯片還包含數(shù)控振蕩器DCO。圖3-2MSP430F169最小系統(tǒng)復(fù)位電路:芯片的電路復(fù)位電路需要單片機(jī)系統(tǒng),以確保穩(wěn)定可靠的工作環(huán)境。它的第一個(gè)功能是復(fù)位?,F(xiàn)階段有三種主要類型的復(fù)位模式:(1)手動(dòng)復(fù)位按鈕;(2)電源重啟;(3)IEA重置。本系統(tǒng)包括兩個(gè)單片機(jī)復(fù)位模式:重置手動(dòng)按鈕和看門狗復(fù)位。手動(dòng)復(fù)位是最常見的復(fù)位模式。將單片機(jī)的RESET引腳拉到按鈕上方,如圖3.3所示。如果檢測到復(fù)位信號,其將從頭開始自動(dòng)啟動(dòng)程序。IEA重置是通過該機(jī)構(gòu)的內(nèi)置微電腦微型計(jì)時(shí)器實(shí)現(xiàn)的。一旦單片微處理器被禁用,單片機(jī)就無法在指定的死循環(huán)中工作,并且IEA計(jì)數(shù)器無法復(fù)位以重置IEA,造成該系統(tǒng)再次運(yùn)作。IEA的重新調(diào)整對單片機(jī)穩(wěn)定性和系統(tǒng)的正常運(yùn)行有重大影響。圖3-3按鈕復(fù)位電路于此同時(shí),可以通過按鍵設(shè)置來調(diào)整外圍電路和其他電路的操作狀態(tài)。主要采用HD7279芯片設(shè)計(jì)。HD7279A可以通過串行接口同時(shí)驅(qū)動(dòng)多達(dá)8個(gè)普通數(shù)碼管。該芯片可同時(shí)驅(qū)動(dòng)多達(dá)64個(gè)矩陣鍵,可以單芯片設(shè)置并完成鍵盤接口和LED顯示功能。主要特點(diǎn):串行接口,無需外部元件即可直接驅(qū)動(dòng)LED;獨(dú)立控制編碼/解碼,不會產(chǎn)生跳動(dòng)性能;向左/向右移動(dòng)以移動(dòng)命令。使用考前須知:HD7279應(yīng)采用普通陰極數(shù)碼管連接;應(yīng)用時(shí),使用鍵盤和數(shù)碼管才可以連接。使用時(shí)必須連接到HD7279普通陰極數(shù)碼管;在設(shè)置過程中,使用鍵盤和數(shù)字控制才可連接;在應(yīng)用過程中,必須選擇下拉電阻連接到按一定比例鍵盤;要求HD7279振蕩電路外部接一個(gè)RC電路提供系統(tǒng)。使用7279芯片制成的按鈕模塊來控制運(yùn)行狀態(tài)。不使用掃描按鈕,但主中斷輸入按鈕設(shè)置為使用微控制器的PLO提供程序執(zhí)行時(shí)間。Pl.l,Pl.2,P1.3口。圖3-4為7279鍵盤電路。液晶及示波器顯示模塊本實(shí)驗(yàn)用12864液晶使用ST79204控制器,5V的電壓驅(qū)動(dòng),自帶背光,8192內(nèi)置的16x16點(diǎn)陣,128字和64x256點(diǎn)陣顯示RAM,使用并行控制模式的外部CPU接口。12864采用標(biāo)準(zhǔn)的20腳接口,管腳連接如圖3-5,其中:第1腳:VSS為電源地;第2腳:VDD接到5V的電源正極上;本文采用的12864液晶單元具有ST79204控制器,5伏的驅(qū)動(dòng)電壓,自背光,矩陣8192內(nèi)置16X16點(diǎn)陣,128個(gè)字和64X256點(diǎn)陣顯示RAM,使用該界面CPU并行控制模式外部。12864使用20腳的標(biāo)準(zhǔn)接口,管腳如圖3-5所示,其中:引腳1:VSS是地電;引腳2:VDD連接到5V電源的正極;引腳3:V0用作LCD屏幕的比照度控制,連接接地電源時(shí)比照度更高。當(dāng)電源為正時(shí),比照度較弱;引腳4:RS是寄存器選擇高電平1時(shí)選擇數(shù)據(jù)寄存器、低電平0時(shí)選擇指令寄存器;引腳5:RW是讀/寫信號線。高電平1時(shí)可以進(jìn)行讀取,低電平0時(shí)可以進(jìn)行書寫;引腳6:E為使能端;引腳7?14:從D0到D7是雙向數(shù)據(jù)站;引腳15:選擇PSB并/串行,H是并行選擇,L是串行選擇;引腳16:空;引腳17:復(fù)位RST,低電平有效;引腳18:空;引腳19?20:電源背光。19是正極,20是負(fù)極。在器件連接中,從DO到D7的雙向數(shù)據(jù)引腳從液晶順序連接到單片機(jī)的P2端口以進(jìn)行數(shù)據(jù)傳輸。引腳4,5和6從12864連接到單片機(jī)的P6.3,P6.4和P6.5弓I腳。15引腳連接到單片機(jī)的P6.1引腳。當(dāng)連接正確,寫入軟件時(shí)可以保證液晶的有效顯示。圖3-5LCD12864液晶顯示屏接口混頻模塊硬件設(shè)計(jì)混合模塊的功能是通過交換信號頻率來乘以輸入信號。我們可以使用二次超導(dǎo)混合芯片來搭建MC3362混合電路。它的外圍電路復(fù)雜,信號的動(dòng)態(tài)范圍小,輸出的電壓幅度為非線性,因此它會對頻譜測量產(chǎn)生一些影響。混頻器輸出信號不是很干凈,因此其抑制弱噪聲弱。本設(shè)計(jì)使用乘法器來搭建混頻器電路。AD835通過兩個(gè)頻率和差分信號放大振動(dòng)信號和輸入信號,以實(shí)現(xiàn)混頻效果。與最廣泛使用的MCI569相比,可以實(shí)現(xiàn)輸入信號幅度來抑制噪聲。AD835具有較大的動(dòng)態(tài)范圍,更靈活的輸出,在該設(shè)計(jì)中更加實(shí)用。前置放大電路前置放大電路采用基于0PA690芯片的設(shè)計(jì)方案。芯片0PA690高性能的放大器,并具有良好的性能噪聲指標(biāo),并且可以提高輸出驅(qū)動(dòng)能力和比擬小信號電源的帶寬,圖3-10為所示的前端放大電路,放大器,本文所需要的最小輸入信號為20mV,使得峰值電壓IV的輸出放大電路18倍,2倍放大電路中的第一級,由于電阻是引進(jìn)50歐姆時(shí),由于第一級的分壓放大倍率為1,且因?yàn)榉糯蟮诙壥?8倍。設(shè)一輸入為uil,輸出為uol;兩個(gè)輸入輸出ui2,uo2,放大倍數(shù)的計(jì)算如下:^-=2叱二18%2叱二18%2叱二18%2一察5600%2(1+元-)=〃02=>此前置放大電路可實(shí)現(xiàn)50歐姆的輸入阻抗,其電壓輸入信號的有效值滿足20mV-50mV叱二18%2中頻的選擇在混頻器的輸出信號里面不僅有需要的差額信號,還有一些諧波組合和諧波頻率,如果這些組合頻率接近于中頻并落在中頻放大器的通頻帶內(nèi),那么會形成干擾。本文要對1-30MHZ的波長范圍內(nèi)測量,所以選擇的頻率為423KHZ,在整個(gè)波段,只有少數(shù)的頻率點(diǎn)符合?;祛l電路混頻的核心是頻譜轉(zhuǎn)換過程,通過將信號相乘來實(shí)現(xiàn)。由于集成電路的開展,內(nèi)置對稱乘法比分立元件更有效。我們選擇了美國AD公司的AD835集成乘法器來完成乘法和混頻功能。AD835的輸出噪聲非常低。當(dāng)輸入信號為30MHz時(shí),它僅產(chǎn)生0.274毫伏的噪聲。它具有更少的外部組件。以混頻電路與AD835倍增器作為一級混頻。電路的乘法器局部是實(shí)現(xiàn)級別的混合電路的主要局部?;祛l是一種線性移動(dòng)光譜,旨在引入輸入信號、差頻信號和掃頻信號。假設(shè)輸入信號電壓為:(3-3)掃頻信號電壓為:(3-4)經(jīng)過混頻后的信號為:2.噪聲系數(shù):因?yàn)樗匀辉谙到y(tǒng)的前端,NF對系統(tǒng)噪聲有較大的影響。適用于適配器:83、線性度:線性度是系統(tǒng)中一個(gè)重要的指標(biāo);84、隔離(平衡);85、其他性能參數(shù):阻抗,功耗;86、混頻器的典型指標(biāo):8濾波模塊9檢波模塊9掃頻模塊10本章小結(jié)11第三章硬件設(shè)計(jì)12硬件總體設(shè)計(jì)12主要控制模塊設(shè)計(jì)12單片機(jī)最小系統(tǒng)12TOC\o"1-5"\h\z液晶及小波器顯不模塊13第1腳:VSS為電源地;13第2腳:VDD接到5V的電源正極上;13混頻模塊硬件設(shè)計(jì)14前置放大電路14中頻的選擇15混頻電路15濾波器模塊硬件設(shè)計(jì)16檢波電路硬件設(shè)計(jì)16掃頻發(fā)生模塊17(3-5)由于每個(gè)混頻器的輸入信號頻率相等且相位不同,因此結(jié)果是一個(gè)支流信號和一個(gè)而被頻率的信號。通常,僅請求一個(gè)中頻信號,并且通常使用帶通濾波器來移除使用的邊帶。對于系統(tǒng)來說,輸入信號和頻率信號在混頻器中一起輸入,然后把中頻信號通過濾波器濾波,最后發(fā)送到檢測電路進(jìn)行RMS檢測。信號頻率特征儀的主要局部便是混頻器?;祛l器電路圖如圖3-11所示。濾波器模塊硬件設(shè)計(jì)對于混頻輸出的非中頻信號,有必要在最后階段添加?個(gè)窄帶濾波器。本系統(tǒng)的波段濾波器采用0PA228。其功能是僅允許帶通信號通過并抑制通帶。由于DDS掃描的最終頻率已設(shè)置為0?10MHz,因此濾波器輸出的中心頻率為423kHz。通過對通常的波導(dǎo)濾波器進(jìn)行一些改變來獲得以下的帶通波濾波器。電路原理圖如圖3-12。由圖可計(jì)算出,此濾波器的通帶增益為:檢波電路硬件設(shè)計(jì)檢測電路的功能是提取正弦信號的有效值。為了提高檢測精度,檢測電路由TLC1968芯片組成。該芯片主要用于將AC信號的RMS值轉(zhuǎn)換為DC信號進(jìn)行測量。外圍電路是電容器,電容器選擇極為重要,這決定了檢測時(shí)間和穩(wěn)定性的準(zhǔn)確性。具有高檢測精度的大容量具有長的放電時(shí)間。小電容器提高了當(dāng)前電流檢測電路的檢測精度。為了提高DDS掃描的速度和數(shù)據(jù)采集的準(zhǔn)確性,我們選擇了一個(gè)10uF的電容器,效果很理想。檢測圖如圖3-13所示。這里,放大器檢測器用于促進(jìn)的采樣。檢波器電路如圖3-13所示。掃頻發(fā)生模塊它是一種直接數(shù)字頻率混合器,通常是第三代頻率調(diào)諧技術(shù)。該方法不僅可以在各種頻率的正弦波中發(fā)生,還可以控制波形的初始相位,并且可以產(chǎn)生任意波形。DDS框圖如圖3-7所示。圖3-7DDS原理圖該系統(tǒng)由相位合成器,低通濾波器,D/A適配器和波形存儲器組成。參考時(shí)鐘控制要發(fā)送到相位編譯器的頻率控制字,產(chǎn)生相位距離。該數(shù)據(jù)用作搜索表的地址以處理ROM表,然后執(zhí)行相位轉(zhuǎn)換以輸出不同相位編碼的能力。相位控制器由N位擴(kuò)展和相位寄存器組成。每次脈沖發(fā)生一時(shí)鐘,加法器就回記錄和相加控制的數(shù)據(jù)輸出,最后將結(jié)果發(fā)送到相位合成器的輸入端,反應(yīng)數(shù)據(jù)輸入到相應(yīng)的數(shù)據(jù)后,數(shù)據(jù)會增加控制和頻率數(shù)據(jù)繼續(xù)累積,并在同一時(shí)間將看到累積的結(jié)果,該結(jié)果是用來尋址的波形數(shù)據(jù)地址信號。這樣,在參考時(shí)鐘中,相位累加器將添加相位,當(dāng)相位編譯器滿時(shí),將存在時(shí)間溢出,完成一個(gè)周期循環(huán),這是復(fù)合信號的周期,直接數(shù)字合成信號的頻率是累加器溢出的頻率9。圖3-8是DDS時(shí)序圖,它將并行數(shù)據(jù)轉(zhuǎn)換為串行數(shù)據(jù)。這是因?yàn)閟ig_clk是系統(tǒng)是時(shí)鐘輸入,siglatch,sigsei,dat_in是串行數(shù)據(jù)輸入,dat_out是輸出串行數(shù)據(jù)。圖3-8DDS時(shí)序仿真結(jié)果在產(chǎn)生頻率掃描信號之后,自動(dòng)控制信號以穩(wěn)定掃描輸出信號的輸出電壓。該電路使用集成的AD603芯片。這是一個(gè)可編程增益調(diào)節(jié)器芯片,它將運(yùn)算放大器與可調(diào)增益范圍相結(jié)合可用于低噪聲信號,作為分貝增益和電壓之間電壓之間的線性關(guān)系,以控制增益。帶寬信號增益為11?30dBo增益控制接口的輸入阻抗相對較高。在多通道應(yīng)用中,可以用一個(gè)電壓控制多個(gè)參考放大器。同時(shí),增益控制接口也是差分輸入能力,必須根據(jù)輸入信號的電平和極點(diǎn)進(jìn)行設(shè)計(jì)以確定適當(dāng)?shù)目刂破飨到y(tǒng)。在設(shè)計(jì)上,采樣高速后,單片機(jī)計(jì)算增量以及控制得到的增益電壓,精確控制放大器增益,可以到達(dá)穩(wěn)定輸出。圖3-9電路是雙路信號輸出后單片機(jī)的DA共同控制的。電源轉(zhuǎn)換電路設(shè)計(jì)鑒于局部模塊使用電源為4.5V,而MSP430F169使用3.3V電壓。所以設(shè)計(jì)一個(gè)電路將4.5V直流電壓轉(zhuǎn)化成3.3V直流。該電路使用AMS1U7-3.3芯片。它是一個(gè)低壓差分穩(wěn)壓器,可產(chǎn)生3.3VIA的直流輸出。AMS1117將熱保護(hù)與限流電路相結(jié)合,穩(wěn)壓芯片非常適合用作3.3伏直流穩(wěn)壓器。其具體的電路設(shè)計(jì)如圖3-6o當(dāng)從1117-3.3將4.5伏穩(wěn)壓電源插入VIN端時(shí)、GND端接地,Vout端就會提供3.3伏穩(wěn)壓輸出。由于輸出信號可能有噪聲的干擾,所以添加兩個(gè)電容來過濾輸出,使輸出電壓更穩(wěn)定,如圖3-6中的C2和C4電容所示。圖3-6能量轉(zhuǎn)換電路通過該電路,便同時(shí)擁有了4.5V和3.3V的電壓,可以滿足不同設(shè)備電源的系統(tǒng)要求本章小結(jié)本章介紹了硬件總體設(shè)計(jì),主要控制模塊設(shè)計(jì),混頻模塊,濾波模塊,檢波模塊及掃頻模塊的硬件設(shè)計(jì)以及電源轉(zhuǎn)換電路的設(shè)計(jì)。本章設(shè)計(jì)的具體的各個(gè)模塊進(jìn)行了分析與理論說明,基本完成了本文的所有硬件設(shè)計(jì)。第四章軟件設(shè)計(jì)軟件總體設(shè)計(jì)本設(shè)計(jì)主要用于完成掃頻控制,頻率特性基于零方位移原理。計(jì)算和特征顯示出獨(dú)特的曲線。在引導(dǎo)時(shí),系統(tǒng)將首先判定當(dāng)前運(yùn)行狀態(tài),然后根據(jù)相應(yīng)的情況執(zhí)行相應(yīng)的操作。本方案基本上將該系統(tǒng)的運(yùn)作分為兩個(gè)工作圖。程序主要有兩個(gè)工作狀態(tài):設(shè)置態(tài)與運(yùn)行態(tài)。系統(tǒng)激活后,LCD屏幕和按鈕被初始化。在選定的情況下,使用用戶可以通過按鍵來調(diào)整屏幕中心頻率,顯示內(nèi)容。在運(yùn)行狀態(tài)下,系統(tǒng)將連續(xù)執(zhí)行掃頻控制,頻譜計(jì)算并控制輸出顯示,并通過按鍵中斷服務(wù)程序來進(jìn)行狀態(tài)轉(zhuǎn)換。圖4-1顯示了主程序流程圖和相關(guān)的單元模式。圖4-1主程序流程圖設(shè)置狀態(tài)和在運(yùn)作狀態(tài)處理函數(shù)設(shè)計(jì)在設(shè)置狀態(tài)下,尚未輸出頻譜。用戶可以通過按鍵設(shè)置所需的屏幕中心,頻率,帶寬和所需顯示內(nèi)容等。通過在設(shè)置后可啟動(dòng)開始運(yùn)作鍵來開始操作。系統(tǒng)開始使用7279鍵盤上的操作鍵工作,此時(shí)LCD將顯示相應(yīng)的操作狀態(tài)。首先,單片機(jī)控制FPGA輸出已設(shè)置的相應(yīng)頻率和相位的正交掃描信號,該信號與待測放大信號一起輸入到混頻器。混頻輸出信號的頻率更復(fù)雜,然后中頻信號通過濾波器輸出所需的濾波函數(shù)。過濾檢測之后,MSP430的AD收集和處理的輸出數(shù)據(jù)(考慮MCU的存儲空間和運(yùn)行速度,所提供的數(shù)據(jù)將被發(fā)送到FPGA的ROM用于存儲,和然后輸入到單片機(jī)計(jì)算)。在上一個(gè)操作完成后,單片機(jī)將從FPGA讀取有效值。DA處理后,將其發(fā)送到示波器的Y軸。與此同時(shí),在MCU的另一DA將產(chǎn)生從。到30MHz的正斜率電壓,并用1MHz的的間隔,其被發(fā)送到示波器的X軸的頻率標(biāo)記。要求X軸和Y軸必須是同步輸入,以便雙路DA的輸出數(shù)據(jù)可以輸入到示波器以顯示頻譜。系統(tǒng)一直運(yùn)行,直到它被設(shè)置為適當(dāng)?shù)臓顟B(tài)。設(shè)置狀態(tài)和運(yùn)作轉(zhuǎn)狀態(tài)處理函數(shù)的流程圖如圖4-2(a)和4-3(b)所示。(a)設(shè)置狀態(tài)處理函數(shù)流程圖(b)運(yùn)行狀態(tài)處理函數(shù)流程圖圖4-2各狀態(tài)函數(shù)流程圖7279接收按鍵信息中斷服務(wù)流程設(shè)計(jì)在程序開始時(shí),鍵盤被初始化并選擇相應(yīng)的操作狀態(tài)。在程序中,通過一個(gè)外部狀態(tài)信號用于控制中斷信息鍵的值,并為該操作選擇相應(yīng)狀態(tài)進(jìn)行判斷。在設(shè)置和運(yùn)行狀態(tài)下執(zhí)行相應(yīng)的工作。設(shè)置按鍵時(shí),可以通過鍵盤輸入中心頻率和帶寬等信息,相應(yīng)的信息將顯示在液晶顯示屏上。按下運(yùn)行鍵,系統(tǒng)開始工作。此時(shí),信息設(shè)置按鈕被禁用。按下運(yùn)行鍵后,所有系統(tǒng)設(shè)備都開始工作,效率更高(如4.2所述)。該芯片可同時(shí)連接多達(dá)64個(gè)鍵盤矩陣鍵,并完成全屏顯示和鍵盤接口。7279接收按鍵信息中斷服務(wù)程序流程如圖4-3。圖4-37279接收按鍵信息中斷服務(wù)函數(shù)流程4.3本章小結(jié)本章完成了本文的軟件設(shè)計(jì)局部。本章描述了軟件設(shè)計(jì)的要求,也完成了本文的主程序軟件的設(shè)計(jì),完善了本文的最后設(shè)計(jì)與補(bǔ)充。第五章調(diào)試與結(jié)果主要測試儀器有:LPS-305數(shù)字線性電源,DG4102信號發(fā)生器,DS1102D雙通道數(shù)字存儲示波器,DT9205數(shù)字萬用表等。主要測試方法是:正交掃頻源測試:輸出頻率步進(jìn)為100kHzo對于具有頻率輸出的每個(gè)信號,都用示波器觀察其幅度,電壓峰值等信息。正交掃頻信號源測試正交掃頻信號源的測試:使輸出頻率按100kHz步進(jìn),使用示波器觀察每一個(gè)輸出頻率信號的電壓峰值,幅度信息。數(shù)據(jù)結(jié)果如表5-1所示。表5-1前置放大器測試數(shù)據(jù)表(輸入信號峰峰值為14mV)由表5-1可得出當(dāng)此設(shè)計(jì)正交掃頻信號頻率為1MHZ?15MHz時(shí),幅度比擬穩(wěn)定,適合于測量,輸出較穩(wěn)定相頻和幅頻等特性。制作的增益控制電路的測試結(jié)果在正弦信號輸出與傳輸?shù)倪^程中,要保證幅度穩(wěn)定,使信號按照一定的步進(jìn)輸出。本文設(shè)計(jì)了增益控制電路。下面是對增益控制信號的測試。表5-2增益控制測試從表中可觀察到,伴隨著輸入端電壓增大,增益也增大,而且比擬穩(wěn)定。本章小結(jié)本章完成了正交掃頻信號的測試和增益電路的測試,得出了本文的結(jié)論,也為最后的總結(jié)與展望做了鋪墊。[1]基于HMC1001的移頻信號檢測儀的開發(fā)[J].安義巖,田慕琴,宋建成.煤炭技術(shù).2016(02)⑵差分LC濾波器在通信電路中的設(shè)計(jì)和應(yīng)用[J].郭越挺,胡塘,周濤.信息通信.2015(06)[3]基于浮點(diǎn)DSP的軌道信號開發(fā)平臺的研究[J].張陳玉,杜普選,聞躍,趙文山.測控技術(shù).2014(11)[4]基于磁阻效應(yīng)的礦井雜散電流檢測系統(tǒng)[J].梁智勇,任鴻秋,耿蒲龍,李永學(xué),趙猛.工礦自動(dòng)化.2014(11)[5]基于稀疏分解的軌道移頻信號去噪算法研究[J].軒春霞,王小敏,楊揚(yáng),郭進(jìn).計(jì)算機(jī)測量與控制.2014(09)[6]磁軌制動(dòng)器的優(yōu)化與仿真[J].王勝,顧宇峰.重慶理工大學(xué)學(xué)報(bào)(自然科學(xué)).2014(06)[7]ZPW-2000移頻軌道電路室外監(jiān)測系統(tǒng)開發(fā)[J].蔡紅標(biāo),張雄.鐵道通信信號.2013(06)[8]一種無需軸對準(zhǔn)的磁羅盤正交度測試方法[J].李偉,童衛(wèi)平.宇航計(jì)測技術(shù).2013(01)[9]基于ANSYS的鋼軌電流磁場仿真分析[J].葉衛(wèi)東,楊浩軍.儀器儀表用戶.2012(02)[10]19世紀(jì)電磁學(xué)史上的一座豐碑——麥克斯韋與電磁場理論的創(chuàng)立[J].周艷玲,吉春燕,楊慶余.物理與工程.2011(01)電源轉(zhuǎn)換電路設(shè)計(jì)18本章小結(jié)18第四章軟件設(shè)計(jì)19軟件總體設(shè)計(jì)19設(shè)置狀態(tài)和在運(yùn)作狀態(tài)處理函數(shù)設(shè)計(jì)19(a)設(shè)置狀態(tài)處理函數(shù)流程圖(b)運(yùn)行狀態(tài)處理函數(shù)流程圖197279接收按鍵信息中斷服務(wù)流程設(shè)計(jì)19本章小結(jié)20第五章調(diào)試與結(jié)果21正交掃頻信號源測試21制作的增益控制電路的測試結(jié)果21本章小結(jié)21第一章緒論論文研究的背景以及研究現(xiàn)狀關(guān)于電路測試,電子通信技術(shù)人員關(guān)注對通信產(chǎn)生重大影響的因素,例如配置信息,頻譜條件,信號失真和噪聲條件。要理解為什么上述情況會發(fā)生,它是如何發(fā)生的,以及如何監(jiān)測它,有必要進(jìn)行信號檢測。例如,在諧波發(fā)生了諧波失真,,進(jìn)行頻譜分析的觀察與檢測,查看有無倍頻干擾,便可以分析產(chǎn)生噪聲的頻帶,然后設(shè)置濾波器以消除這些頻率段的噪聲。因此頻率分析便更加清楚。為防止信號傳輸對頻率之間干擾的影響,可以測試頻譜占用以了解與得知情況。有許多方法可以測量信號。我們可以使用示波器來監(jiān)控頻率,波長和信號幅度。示波器曲線是電壓變化的幅值,水平和垂直軸可以顯示電壓變化。通過示波器,我們可以了解其功率幅度的變化,以及對信號頻譜的理解和分析。但是一些復(fù)雜變化的信息示波器便無能為力了。現(xiàn)在與以往的掃頻儀在工作原理上相比,主要采用濾波后AD的采樣和檢測,并通過DPS方法進(jìn)行濾波。在正常情況下,信號頻率計(jì)可以進(jìn)行調(diào)制信號,進(jìn)行矢量分析并測量信號。我們還可以借助使用跟蹤源,筑波橋等輔助測量設(shè)備測試傳輸線,場強(qiáng)和元件傳輸特性。頻率掃描器可分為臺式頻譜分析儀,手持頻譜分析儀,PXI頻譜分析儀和LXI頻譜分析儀,是頻譜分析儀的主流。對于信號頻率特征儀的最新開展,其具有優(yōu)于掃描頻譜分析儀的明顯優(yōu)勢:它具有更多的觸發(fā)模式,因此可以測試頻率變化更快的系統(tǒng)。其硬件結(jié)構(gòu)與現(xiàn)代頻譜分析儀類似,因此具有較高的數(shù)據(jù)處理速度和較高的帶寬。論文研究的目的及意義我們可以通過信號頻率特征的分析來發(fā)現(xiàn)許多有用的信息,但是對于高頻的信號頻譜分析常用的頻率特征檢測分析方法便不適用了。為了完善解決這類問題,便可以使用外差原理?;诒菊n題研究的信號頻率特征儀設(shè)計(jì),可以進(jìn)行識別振幅信號和調(diào)制頻率,也可以確定函數(shù)的中心頻率,其在通信系統(tǒng)領(lǐng)域有著較好的應(yīng)用前景。通常情況下,我們平時(shí)所采用的都是只適用于低頻段的儀器,其一般以DSP為控制核心的算法進(jìn)行信號采集,將模擬信號數(shù)字化,通過AD采集信號,再進(jìn)行傅里葉變換,最后分析來實(shí)現(xiàn)頻譜分析功能。因?yàn)楦道锶~計(jì)算方法太過繁瑣,所以大多簡單的信號頻率特征儀精度都不會太高。所以本設(shè)計(jì)有著幾大優(yōu)勢。一,本設(shè)計(jì)同時(shí)適用于低頻信號和高頻信號;二,本設(shè)計(jì)有著較高的精度和處理速度;三,本設(shè)計(jì)比擬易于操作,可通過按鍵直接設(shè)置所需的帶寬,頻率以及其他各項(xiàng)需求。論文內(nèi)容和章節(jié)安排本論文設(shè)計(jì)了一種基于外差原理采用被測信號與本征頻率實(shí)現(xiàn)的信號頻率特征檢測儀。本文一共有六章,具體內(nèi)容如下:緒論介紹了該課題的研究背景和意義。介紹了信號頻率特征檢測儀的作用,開展情況及論文的安排??傮w設(shè)計(jì)介紹了信號頻率特征檢測儀的總體設(shè)計(jì)。首先介紹了本文總體設(shè)計(jì)與基本原理。然后介紹了本設(shè)計(jì)的系統(tǒng)組成以及混頻模塊,濾波模塊,檢波模塊及掃頻模塊的設(shè)計(jì)硬件設(shè)計(jì)介紹了硬件總體設(shè)計(jì),主要控制模塊設(shè)計(jì),混頻模塊,濾波模塊,檢波模塊及掃頻模塊的硬件設(shè)計(jì)以及電源轉(zhuǎn)換電路的設(shè)計(jì)。軟件設(shè)計(jì)介紹了軟件的總體設(shè)計(jì),處理函數(shù)設(shè)計(jì)以及接受鍵信息中斷服務(wù)流程設(shè)計(jì)。測試儀結(jié)果完成了正交掃頻信號的測試與增益控制電路的測試??偨Y(jié)與展望這一章的內(nèi)容是對本文所研究的總結(jié),以及對未來的應(yīng)用來做一些展望??傮w設(shè)計(jì)本設(shè)計(jì)為一個(gè)精度高并且可適用于高頻的頻率特征儀。主題設(shè)計(jì)是使用單片機(jī)控制各項(xiàng)模塊,并可以通過示波器觀察到信號頻譜,同時(shí)能設(shè)置按鍵使液晶屏顯示實(shí)時(shí)帶寬、運(yùn)行狀態(tài)以及中心頻率等。本設(shè)計(jì)包含混頻模塊、掃頻模塊、濾波、檢波以及控制模塊,連接與調(diào)試各模塊,可設(shè)計(jì)出本設(shè)計(jì)的組成框圖如圖2-1所示?;驹肀驹O(shè)計(jì)采用DDS原理,使用最小步進(jìn)100kHz,輸出范圍1MHz?30MHz的掃頻信號,F(xiàn)PGA控制輸出,再使用增益控制電路來使幅值進(jìn)行穩(wěn)定輸出?;旌暇W(wǎng)絡(luò)輸出信號與振幅穩(wěn)定的掃頻信號,混合423kHz的信號放大濾波電路頻率,收集標(biāo)本。把采樣數(shù)據(jù)先送入FPGA中的RAM,同時(shí)與單片機(jī)處理的X軸正斜率電壓輸入示波器,顯示出頻譜。再設(shè)置帶寬,中心頻率,頻譜運(yùn)行狀態(tài)以及鍵盤和LCD液晶屏,最后完成測試。FPGA原理在本系統(tǒng)中,F(xiàn)PGA主要用于生成正交掃描信號以及存儲和輸出數(shù)字信號。FPGA,也稱為現(xiàn)場可編程門陣列,是基于可編程器件,如PAL,GAL和CPLD定制集成電路(ASIC)領(lǐng)域的半自動(dòng)電路。其不僅解決了專用電路短缺的問題,而且還解決了器件原始可編程門的一些缺點(diǎn)。FPGA與CPLD的差異不同是工作原理和結(jié)構(gòu)特征。DDS原理DDS是一種新的頻率編譯方法。它最初是由JosephTierney和其他人提出的。它具有高輸出分辨率特性,低功耗,快速切換速度和頻率切換相位連續(xù)的特點(diǎn)。為此,理解DDS模塊在數(shù)字信號處理和硬件實(shí)現(xiàn)中起著重要作用。DDS結(jié)構(gòu)主要由四個(gè)大型結(jié)構(gòu)組成,例如相位累加器,波形存儲器,數(shù)模轉(zhuǎn)換器(D/A)和低通濾波器。它的相位編譯器由一個(gè)N位加法器和一個(gè)N位累加器組成,這是DDS模塊的一個(gè)非常重要的局部。根據(jù)時(shí)鐘頻率基準(zhǔn),單元DDS開始工作;使用各基準(zhǔn)時(shí)鐘時(shí),積聚在FW控制字和出口登記頻率的復(fù)數(shù)值,然后將添加到注冊表,并反應(yīng)由先前參考時(shí)鐘統(tǒng)一記錄產(chǎn)生的數(shù)據(jù)的積累。這樣,在時(shí)鐘的影響下,頻率控制字可以連續(xù)累加。此時(shí),通過查看對應(yīng)于該地址的幅值表,將來自相位累加器的數(shù)據(jù)的輸出用作波形存儲器中的地址,就可以完成從相位到幅值的轉(zhuǎn)換。系統(tǒng)組本錢設(shè)計(jì)的核心電路控制設(shè)計(jì)為MSP430F169主控芯片、存儲及數(shù)據(jù)轉(zhuǎn)換單元、顯示模塊等,其顯示模塊可顯示本設(shè)計(jì)的中心頻率,也可顯示出本設(shè)計(jì)的運(yùn)行狀態(tài),以便于更好的校驗(yàn)電路的操作狀態(tài)。這些設(shè)計(jì)作為控制模塊,可使硬件電路進(jìn)行進(jìn)行有效工作??刂茊卧獑纹瑱C(jī)是在單個(gè)集成電路芯片上進(jìn)行微型計(jì)算機(jī)集成的,其組件為I/O接口電路,CPU,定時(shí)器,內(nèi)存以及其他計(jì)算機(jī)組件。單片機(jī)在人類生活和工作的各個(gè)領(lǐng)域廣泛應(yīng)用。其更小,更靈活的計(jì)算機(jī)的特征使其擁有了相對低的本錢和相對容易的轉(zhuǎn)換。在控制方面,其

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論