第5章 復(fù)位與時(shí)鐘控制器_第1頁(yè)
第5章 復(fù)位與時(shí)鐘控制器_第2頁(yè)
第5章 復(fù)位與時(shí)鐘控制器_第3頁(yè)
第5章 復(fù)位與時(shí)鐘控制器_第4頁(yè)
第5章 復(fù)位與時(shí)鐘控制器_第5頁(yè)
已閱讀5頁(yè),還剩39頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第5章

復(fù)位和時(shí)鐘控制器內(nèi)容復(fù)位5.1STM32F10x微控制器時(shí)鐘模塊簡(jiǎn)介5.2庫(kù)函數(shù)說(shuō)明5.3使用RCC庫(kù)函數(shù)建立時(shí)鐘復(fù)位系統(tǒng)復(fù)位(SystemReset)復(fù)位除時(shí)鐘控制寄存器RCC_CSR中的復(fù)位標(biāo)志和備份寄存器以外的所有寄存器NRST管腳上的低電平(外部復(fù)位)。窗口看門(mén)狗計(jì)數(shù)終止(WWDG復(fù)位)。獨(dú)立看門(mén)狗計(jì)數(shù)終止(IWDG復(fù)位)。軟件復(fù)位(SW復(fù)位)。低功耗管理復(fù)位。上電復(fù)位(PowerReset)復(fù)位除備份區(qū)域外的全部寄存器。上電/掉電復(fù)位POR/PDRPowerOnReset/PowerDownReset當(dāng)電源電壓小于VPOR/VPDR時(shí)保持復(fù)位從待機(jī)模式返回備份區(qū)域復(fù)位(BackupdomainReset)對(duì)備份區(qū)域寄存器進(jìn)行復(fù)位軟件復(fù)位設(shè)置備份區(qū)域控制器RCC_BDCR中的BDRST位產(chǎn)生VDD和VBAT均掉電的前提下,VDD和VBAT開(kāi)始供電無(wú)電源時(shí)復(fù)位上電復(fù)位備份區(qū)域復(fù)位VBAT供電轉(zhuǎn)VDD供電上電復(fù)位VDD供電時(shí)復(fù)位系統(tǒng)復(fù)位5.1STM32F10x微控制器時(shí)鐘模塊簡(jiǎn)介5.1.1HSE時(shí)鐘5.1.2HSI時(shí)鐘5.1.3PLL5.1.4LSE時(shí)鐘5.1.5LSI時(shí)鐘5.1.6系統(tǒng)時(shí)鐘的選擇5.1.7時(shí)鐘安全系統(tǒng)5.1.8RTC時(shí)鐘5.1.9看門(mén)狗時(shí)鐘5.1.10時(shí)鐘輸出5.1.11片上外設(shè)時(shí)鐘4.1MDK-ARM簡(jiǎn)介HSE,HighSpeedExternalClockSignal高速外部時(shí)鐘4-16MHz晶振(常用8MHz)最高25MHz時(shí)鐘信號(hào)(50%占空比方波、三角波、正弦波)啟動(dòng)后需要穩(wěn)定時(shí)間才能輸出穩(wěn)定的時(shí)鐘信號(hào)懸空電容4.1MDK-ARM簡(jiǎn)介HSI,HighSpeedInternalClockSignal高速內(nèi)部時(shí)鐘8MHz,RC振蕩器系統(tǒng)啟動(dòng)時(shí)的缺省時(shí)鐘精度差,可校準(zhǔn),備用時(shí)鐘4.1MDK-ARM簡(jiǎn)介PLL,PhaseLockedLoop

鎖相環(huán)對(duì)輸入信號(hào)進(jìn)行倍頻輸入信號(hào):HSE、HSE/2,HIS/2輸出信號(hào):輸入信號(hào)*倍頻系數(shù)倍頻系數(shù):2-164.1MDK-ARM簡(jiǎn)介L(zhǎng)SE,LowSpeedExternalClockSignal外部低速時(shí)鐘32.768KHz晶振32.768KHz時(shí)鐘信號(hào)(50%占空比方波、三角波、正弦波)啟動(dòng)后需要穩(wěn)定時(shí)間才能輸出穩(wěn)定的時(shí)鐘信號(hào)RTC4.1MDK-ARM簡(jiǎn)介L(zhǎng)SI,LowSpeedInternalClockSignal內(nèi)部低速時(shí)鐘30-60KHzRC振蕩器,精度差,可校準(zhǔn)(大容量處理器)RTC、獨(dú)立看門(mén)狗和自動(dòng)喚醒單元4.1MDK-ARM簡(jiǎn)介SYSCLK,SystemClock系統(tǒng)時(shí)鐘最大值72MHzHIS,8MHzPLLCLKHSE,晶振4-16MHz,時(shí)鐘最大25MHz時(shí)鐘源系統(tǒng)相關(guān)時(shí)鐘HSEHSIPLL得到SYSCLK其他時(shí)鐘LSELSI4.1MDK-ARM簡(jiǎn)介CSS時(shí)鐘安全系統(tǒng)當(dāng)使用HSE并使能CSS時(shí),若HSE故障,CSS會(huì)自動(dòng)將HSI切換為SYSCLK,并產(chǎn)生CSSI和NMI中斷,直到清除CSSI,NMI中斷才會(huì)解除4.1MDK-ARM簡(jiǎn)介AHB時(shí)鐘預(yù)分頻器輸入時(shí)鐘:SYSCLK輸出時(shí)鐘:SYSCLK/分頻系數(shù)分頻系數(shù):1/2/4/8/16/64/128/256/5124.1MDK-ARM簡(jiǎn)介1、HCLK:AHB時(shí)鐘(AHB總線、內(nèi)核、DMA、存儲(chǔ)器等)2、系統(tǒng)時(shí)基定時(shí)器時(shí)鐘:AHB時(shí)鐘/83、FCLK:AHB時(shí)鐘(Cortex內(nèi)核自由時(shí)鐘)永遠(yuǎn)不停,用于中斷采樣和睡眠時(shí)的事件跟蹤,并提供調(diào)試的時(shí)鐘信號(hào)4、PCLK1:AHB時(shí)鐘/分頻系數(shù),APB1總線時(shí)鐘,最大36MHz5、PCLK2:AHB時(shí)鐘/分頻系數(shù),APB2總線時(shí)鐘,最大72MHz注意:片上外設(shè)clockenable信號(hào)、分頻器、倍頻器123454.1MDK-ARM簡(jiǎn)介RTC時(shí)鐘HSE/128LSELSI4.1MDK-ARM簡(jiǎn)介獨(dú)立看門(mén)狗時(shí)鐘LSI4.1MDK-ARM簡(jiǎn)介時(shí)鐘輸出MCOPLLCLK/2HSI、HSE、SYSCLK5.2庫(kù)函數(shù)說(shuō)明typedefstruct{__IOuint32_tCR; //時(shí)鐘控制寄存器__IOuint32_tCFGR; //時(shí)鐘配置寄存器__IOuint32_tCIR; //時(shí)鐘中斷寄存器__IOuint32_tAPB2RSTR; //APB2外設(shè)復(fù)位寄存器__IOuint32_tAPB1RSTR; //APB1外設(shè)復(fù)位寄存器__IOuint32_tAHBENR; //AHB外設(shè)時(shí)鐘使能寄存器__IOuint32_tAPB2ENR; //APB2外設(shè)時(shí)鐘使能寄存器__IOuint32_tAPB1ENR; //APB1外設(shè)時(shí)鐘使能寄存器__IOuint32_tBDCR; //備份域控制寄存器__IOuint32_tCSR; //控制/狀態(tài)寄存器#ifdefSTM32F10X_CL__IOuint32_tAHBRSTR;__IOuint32_tCFGR2;#endif/*STM32F10X_CL*/#ifdefined(STM32F10X_LD_VL)||defined(STM32F10X_MD_VL)||defined(STM32F10X_HD_VL)uint32_tRESERVED0;__IOuint32_tCFGR2;#endif/*STM32F10X_LD_VL||STM32F10X_MD_VL||STM32F10X_HD_VL*/}RCC_TypeDef;5.2庫(kù)函數(shù)說(shuō)明時(shí)鐘的設(shè)置過(guò)程復(fù)位HSI啟動(dòng)為缺省時(shí)鐘源(系統(tǒng)自動(dòng))復(fù)位RCC啟動(dòng)HSE時(shí)鐘(8MHz)等待HSE穩(wěn)定配置PLL得到SYSCLK根據(jù)需要進(jìn)行其他配置LSE不是必要時(shí)鐘,可選LSI可選擇是否啟動(dòng),若獨(dú)立看門(mén)狗被啟動(dòng),則LSI自動(dòng)啟動(dòng)。5.2庫(kù)函數(shù)說(shuō)明復(fù)位RCC函數(shù)名RCC_DeInit函數(shù)原形voidRCC_DeInit(void)功能描述將外設(shè)RCC寄存器重設(shè)為缺省值輸入?yún)?shù)無(wú)輸出參數(shù)無(wú)返回值無(wú)先決條件無(wú)被調(diào)用函數(shù)無(wú)#include“stm32f10x.h”intmain(void){RCC_DeInit();}5.2庫(kù)函數(shù)說(shuō)明啟動(dòng)HSE函數(shù)名RCC_HSEConfig函數(shù)原形voidRCC_HSEConfig(u32RCC_HSE)功能描述設(shè)置外部高速晶振(HSE)輸入?yún)?shù)RCC_HSE:HSE的新?tīng)顟B(tài)先決條件如果HSE被直接或者通過(guò)

PLL用于系統(tǒng)時(shí)鐘,那么它不能被停振#include“stm32f10x.h”intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);}RCC_HSE_OFFHSE晶振OFFRCC_HSE_ONHSE晶振ONRCC_HSE_BypassHSE晶振被外部時(shí)鐘旁路5.2庫(kù)函數(shù)說(shuō)明等待HSE穩(wěn)定函數(shù)名RCC_WaitForHSEStartUp函數(shù)原形ErrorStatusRCC_WaitForHSEStartUp(void)功能描述等待HSE起振,該函數(shù)將等待直到HSE就緒,或者在超時(shí)的情況下退出返回值一個(gè)ErrorStatus枚舉值:SUCCESS:HSE晶振穩(wěn)定且就緒ERROR:HSE晶振未就緒#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作}else{//HSE不能正常工作,怎么辦?}}5.2庫(kù)函數(shù)說(shuō)明配置Flash#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作

FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_2);//緩存提高指令讀取效率,延時(shí)保證內(nèi)核(快)與flash(慢)同步//24MHz延時(shí)為0,48MHz延時(shí)為1,72MHz延時(shí)為2}}5.2庫(kù)函數(shù)說(shuō)明設(shè)置AHB(HCLK)函數(shù)名RCC_HCLKConfig函數(shù)原形voidRCC_HCLKConfig(u32RCC_HCLK)功能描述設(shè)置AHB時(shí)鐘(HCLK)輸入?yún)?shù)RCC_HCLK:定義HCLK,該時(shí)鐘源自系統(tǒng)時(shí)鐘(SYSCLK),參見(jiàn)表5-16參閱Section:RCC_HCLK查閱更多該參數(shù)允許取值范圍RCC_HCLK描述RCC_SYSCLK_Div1AHB時(shí)鐘=系統(tǒng)時(shí)鐘RCC_SYSCLK_Div2AHB時(shí)鐘=系統(tǒng)時(shí)鐘/2RCC_SYSCLK_Div4AHB時(shí)鐘=系統(tǒng)時(shí)鐘/4RCC_SYSCLK_Div8AHB時(shí)鐘=系統(tǒng)時(shí)鐘/8RCC_SYSCLK_Div16AHB時(shí)鐘=系統(tǒng)時(shí)鐘/16RCC_SYSCLK_Div64AHB時(shí)鐘=系統(tǒng)時(shí)鐘/64RCC_SYSCLK_Div128AHB時(shí)鐘=系統(tǒng)時(shí)鐘/128RCC_SYSCLK_Div256AHB時(shí)鐘=系統(tǒng)時(shí)鐘/256RCC_SYSCLK_Div512AHB時(shí)鐘=系統(tǒng)時(shí)鐘/5125.2庫(kù)函數(shù)說(shuō)明配置AHB(HCLK)#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);

RCC_HCLKConfig(RCC_SYSCLK_Div1);}}5.2庫(kù)函數(shù)說(shuō)明設(shè)置APB2(PCLK2)函數(shù)名RCC_PCLK2Config函數(shù)原形voidRCC_PCLK2Config(u32RCC_PCLK2)功能描述設(shè)置高速AHB時(shí)鐘(PCLK2)輸入?yún)?shù)RCC_PCLK2:定義PCLK2,該時(shí)鐘源自AHB時(shí)鐘(HCLK),參見(jiàn)表5-20參閱Section:RCC_PCLK2查閱更多該參數(shù)允許取值范圍RCC_PCLK2描述RCC_HCLK_Div1APB2時(shí)鐘=HCLKRCC_HCLK_Div2APB2時(shí)鐘=HCLK/2RCC_HCLK_Div4APB2時(shí)鐘=HCLK/4RCC_HCLK_Div8APB2時(shí)鐘=HCLK/8RCC_HCLK_Div16APB2時(shí)鐘=HCLK/165.2庫(kù)函數(shù)說(shuō)明配置APB2(PCLK2)#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);RCC_HCLKConfig(RCC_SYSCLK_Div1);

RCC_PCLK2Config(RCC_HCLK_Div1);}}5.2庫(kù)函數(shù)說(shuō)明設(shè)置APB1(PCLK1)函數(shù)名RCC_PCLK1Config函數(shù)原形voidRCC_PCLK1Config(u32RCC_PCLK1)功能描述設(shè)置低速AHB時(shí)鐘(PCLK1)輸入?yún)?shù)RCC_PCLK1:定義PCLK1,該時(shí)鐘源自AHB時(shí)鐘(HCLK),參見(jiàn)表5-18參閱Section:RCC_PCLK1查閱更多該參數(shù)允許取值范圍RCC_PCLK1描述RCC_HCLK_Div1APB1時(shí)鐘=HCLKRCC_HCLK_Div2APB1時(shí)鐘=HCLK/2RCC_HCLK_Div4APB1時(shí)鐘=HCLK/4RCC_HCLK_Div8APB1時(shí)鐘=HCLK/8RCC_HCLK_Div16APB1時(shí)鐘=HCLK/165.2庫(kù)函數(shù)說(shuō)明配置APB1(PCLK1)#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);RCC_HCLKConfig(RCC_SYSCLK_Div1);RCC_PCLK2Config(RCC_HCLK_Div1);RCC_PCLK1Config(RCC_HCLK_Div2);}}5.2庫(kù)函數(shù)說(shuō)明設(shè)置PLL函數(shù)名RCC_PLLConfig函數(shù)原形voidRCC_PLLConfig(u32RCC_PLLSource,u32RCC_PLLMul)功能描述設(shè)置PLL時(shí)鐘源及倍頻系數(shù)輸入?yún)?shù)1RCC_PLLSource:PLL的輸入時(shí)鐘源,參見(jiàn)表5-9輸入?yún)?shù)2RCC_PLLMul:PLL倍頻系數(shù),參見(jiàn)表5-10RCC_PLLSource描述RCC_PLLSource_HSI_Div2PLL的輸入時(shí)鐘=HSI時(shí)鐘頻率除以2RCC_PLLSource_HSE_Div1PLL的輸入時(shí)鐘=HSE時(shí)鐘頻率RCC_PLLSource_HSE_Div2PLL的輸入時(shí)鐘=HSE時(shí)鐘頻率除以2RCC_PLLMul描述RCC_PLLMul_2PLL輸入時(shí)鐘x2RCC_PLLMul_3PLL輸入時(shí)鐘x3RCC_PLLMul_4PLL輸入時(shí)鐘x4RCC_PLLMul_5PLL輸入時(shí)鐘x5RCC_PLLMul_6PLL輸入時(shí)鐘x6RCC_PLLMul_7PLL輸入時(shí)鐘x7RCC_PLLMul_8PLL輸入時(shí)鐘x8RCC_PLLMul_9PLL輸入時(shí)鐘x9RCC_PLLMul_10PLL輸入時(shí)鐘x10RCC_PLLMul_11PLL輸入時(shí)鐘x11RCC_PLLMul_12PLL輸入時(shí)鐘x12RCC_PLLMul_13PLL輸入時(shí)鐘x13RCC_PLLMul_14PLL輸入時(shí)鐘x14RCC_PLLMul_15PLL輸入時(shí)鐘x15RCC_PLLMul_16PLL輸入時(shí)鐘x165.2庫(kù)函數(shù)說(shuō)明設(shè)置PLL#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);RCC_HCLKConfig(RCC_SYSCLK_Div1);RCC_PCLK2Config(RCC_HCLK_Div1);

RCC_PCLK1Config(RCC_HCLK_Div2);RCC_PLLConfig(RCC_PLLSource_HSE_Div1,RCC_PLLMul_9);//8*9=72MHz}}5.2庫(kù)函數(shù)說(shuō)明使能PLL函數(shù)名RCC_PLLCmd函數(shù)原形voidRCC_PLLCmd(FunctionalStateNewState)功能描述使能或者失能PLL輸入?yún)?shù)NewState:PLL新?tīng)顟B(tài)這個(gè)參數(shù)可以?。篍NABLE

或者DISABLE先決條件如果PLL被用于系統(tǒng)時(shí)鐘,,那么它不能被失能5.2庫(kù)函數(shù)說(shuō)明使能PLL#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);RCC_HCLKConfig(RCC_SYSCLK_Div1);RCC_PCLK2Config(RCC_HCLK_Div1);

RCC_PCLK1Config(RCC_HCLK_Div2);

RCC_PLLConfig(RCC_PLLSource_HSE_Div1,RCC_PLLMul_9);//8*9=72MHzRCC_PLLCmd(ENABLE);}}5.2庫(kù)函數(shù)說(shuō)明獲取PLL就緒標(biāo)志函數(shù)名RCC_GetFlagStatus函數(shù)原形FlagStatusRCC_GetFlagStatus(u8RCC_FLAG)功能描述檢查指定的RCC標(biāo)志位設(shè)置與否輸入?yún)?shù)RCC_FLAG:待檢查的RCC標(biāo)志位,參見(jiàn)表5-47返回值RCC_FLAG的新?tīng)顟B(tài)(SET

或者RESET)RCC_FLAG描述RCC_FLAG_HSIRDYHSI晶振就緒RCC_FLAG_HSERDYHSE晶振就緒RCC_FLAG_PLLRDYPLL就緒RCC_FLAG_LSERDYLSI晶振就緒RCC_FLAG_LSIRDYLSE晶振就緒RCC_FLAG_PINRST管腳復(fù)位RCC_FLAG_PORRSTPOR/PDR復(fù)位RCC_FLAG_SFTRST軟件復(fù)位RCC_FLAG_IWDGRSTIWDG復(fù)位RCC_FLAG_WWDGRSTWWDG復(fù)位RCC_FLAG_LPWRRST低功耗復(fù)位5.2庫(kù)函數(shù)說(shuō)明獲取PLL就緒標(biāo)志#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);RCC_HCLKConfig(RCC_SYSCLK_Div1);RCC_PCLK2Config(RCC_HCLK_Div1);

RCC_PCLK1Config(RCC_HCLK_Div2);

RCC_PLLConfig(RCC_PLLSource_HSE_Div1,RCC_PLLMul_9);//8*9=72MHz

RCC_PLLCmd(ENABLE);while(RCC_GetFlagStatus(RCC_FLAG_PLLRDY)==RESET);//while(RCC_GetFlagStatus(RCC_FLAG_PLLRDY)!=SET);}}5.2庫(kù)函數(shù)說(shuō)明配置SYSCLK函數(shù)名RCC_SYSCLKConfig函數(shù)原形voidRCC_SYSCLKConfig(u32RCC_SYSCLKSource)功能描述設(shè)置系統(tǒng)時(shí)鐘(SYSCLK)輸入?yún)?shù)RCC_SYSCLKSource:用作系統(tǒng)時(shí)鐘的時(shí)鐘源,參見(jiàn)表5-13參閱Section:RCC_SYSCLKSource查閱更多該參數(shù)允許取值范圍RCC_SYSCLKSource描述RCC_SYSCLKSource_HSI選擇HSI作為系統(tǒng)時(shí)鐘RCC_SYSCLKSource_HSE選擇HSE

作為系統(tǒng)時(shí)鐘RCC_SYSCLKSource_PLLCLK選擇PLL

作為系統(tǒng)時(shí)鐘5.2庫(kù)函數(shù)說(shuō)明配置SYSCLK#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){RCC_DeInit();

RCC_HSEConfig(RCC_HSE_ON);

HSEStartUpStatus=RCC_WaitForHSEStartUp();if(HSEStartUpStatus==SUCCESS){//繼續(xù)正常RCC操作FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable);FLASH_SetLatency(FLASH_Latency_1);RCC_HCLKConfig(RCC_SYSCLK_Div1);RCC_PCLK2Config(RCC_HCLK_Div1);

RCC_PCLK1Config(RCC_HCLK_Div2);

RCC_PLLConfig(RCC_PLLSource_HSE_Div1,RCC_PLLMul_9);//8*9=72MHz

RCC_PLLCmd(ENABLE);while(RCC_GetFlagStatus(RCC_FLAG_PLLRDY)==RESET);RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK);}}5.2庫(kù)函數(shù)說(shuō)明確保SYSCLK為PLLCLK函數(shù)名RCC_GetSYSCLKSource函數(shù)原形u8RCC_GetSYSCLKSource(void)功能描述返回用作系統(tǒng)時(shí)鐘的時(shí)鐘源輸入?yún)?shù)無(wú)輸出參數(shù)無(wú)返回值用作系統(tǒng)時(shí)鐘的時(shí)鐘源:0x00:HSI作為系統(tǒng)時(shí)鐘0x04:HSE作為系統(tǒng)時(shí)鐘0x08:PLL作為系統(tǒng)時(shí)鐘先決條件無(wú)被調(diào)用函數(shù)無(wú)#include“stm32f10x.h”ErrorStatusHSEStartUpStatus;intmain(void){

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論