青島科技大學(xué)數(shù)字電子技術(shù)復(fù)習(xí)課件_第1頁
青島科技大學(xué)數(shù)字電子技術(shù)復(fù)習(xí)課件_第2頁
青島科技大學(xué)數(shù)字電子技術(shù)復(fù)習(xí)課件_第3頁
青島科技大學(xué)數(shù)字電子技術(shù)復(fù)習(xí)課件_第4頁
青島科技大學(xué)數(shù)字電子技術(shù)復(fù)習(xí)課件_第5頁
已閱讀5頁,還剩100頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

數(shù)字電子技術(shù)基礎(chǔ)期末復(fù)習(xí)試題類型一、填空題(10分,每空2分)二、邏輯函數(shù)化簡(20分,每題5分,公式法和卡諾圖各2個)三、分析題(25分,共3題,5+5+15)

3章門電路分析、4章集成芯片組合分析、6章時序分析四、設(shè)計題(40分,共4題,10+20+10)

4章集成芯片組合設(shè)計、6章計數(shù)器設(shè)計、10章555多諧振蕩器設(shè)計五、計算題(5分,共1題)

11章第一章數(shù)制與碼制2,10,8,16進(jìn)制互換。原碼、反碼、補(bǔ)碼的含義和相互轉(zhuǎn)換。常用編碼:1)十進(jìn)制:8421碼(BCD)、余3碼。2)格雷碼(循環(huán)碼)含義。數(shù)制轉(zhuǎn)換總結(jié):R進(jìn)制轉(zhuǎn)換十進(jìn)制:系數(shù)乘以權(quán)相加十進(jìn)制轉(zhuǎn)換二進(jìn)制:整數(shù)部分:除基數(shù)2倒取余法小數(shù)部分:乘基數(shù)2順取整法二進(jìn)制轉(zhuǎn)換八、十六進(jìn)制:合并代碼轉(zhuǎn)化法八、十六進(jìn)制轉(zhuǎn)換二進(jìn)制:分裂代碼轉(zhuǎn)化法十進(jìn)制→二進(jìn)制→八、十六進(jìn)制第二章邏輯代數(shù)基礎(chǔ)基本運(yùn)算及其符號:與,或,非。復(fù)合邏輯運(yùn)算符號:與非,或非,異或,同或?;竟胶统S霉剑罕?.3.1、表2.3.3基本定理:代入、反演、對偶。邏輯函數(shù)的表示方法:真值表、函數(shù)表達(dá)式、邏輯圖、波形圖,之間能任意地相互轉(zhuǎn)換。邏輯函數(shù)的標(biāo)準(zhǔn)形式:最小項之和。邏輯函數(shù)化簡:公式化簡、卡諾圖及其化簡、有無關(guān)項的卡諾圖化簡?;竟叫蛱柟叫蛱柟蕉ɡ?01=0;0=110·A=0111+A=10-1律21·A=A120+A=A自等律3A·A=A13A+A=A重疊律4A·A=014A+A=1互補(bǔ)律5A·B=B·A15A+B=B+A交換律6A·(B·C)=(A·B)·C16A+(B+C)=(A+B)+C結(jié)合律7A·(B+C)=A·B+A·C17A+B·C=(A+B)·(A+C)分配律8A·B=A+B18A+B=A·B德·摩根9A=A19還原律證明方法:推演法真值表根據(jù)與、或、非的定義同一律反演律若干常用公式序號公式21A+AB=A吸收律22A+A′B=A+B消因律23AB+AB′=A合并律24A(A+B)=A吸收律25AB+A′C+BC=AB+A′CAB+A′C+BCD=AB+A′C26A(AB)′=AB′;A′(AB)′=A′包含律練習(xí)化簡函數(shù)Y=BC’+ABC’E+B’(A’D’+AD)’+B(AD’+A’D)=BC’(1+AE)+B’(AD’+A’D)+B(AD’+A’D)=BC’+(AD’+A’D)(B+B’)=BC’+AD’+A’D

練習(xí)2、化簡邏輯函數(shù):公式法:或者:

[練習(xí)3]用圖形法將下列函數(shù)化簡為最簡與或式。(1)畫函數(shù)的卡諾圖(2)合并最小項:畫包圍圈(3)寫出最簡與或表達(dá)式ABCD000111100001111011111111[解]11[練習(xí)4]化簡邏輯函數(shù)化簡步驟:①畫函數(shù)的卡諾圖,順序為:ABCD0001111000011110先填1

0111000000②合并最小項,畫圈時

×既可以當(dāng)1,又可以當(dāng)0③寫出最簡與或表達(dá)式[解]╳[例]

化簡邏輯函數(shù)約束條件[解]①畫函數(shù)的卡諾圖ABCD00011110000111101111②合并最小項③寫出最簡與或表達(dá)式合并時,究竟把╳

作為

1還是作為

0應(yīng)以得到的包圍圈最大且個數(shù)最少為原則。包圍圈內(nèi)都是約束項無意義(如圖所示)。注意:注意:如果題目要求結(jié)果寫成最小項之和的形式,最好是寫成如下形式:第三章門電路1、簡單的門電路圖:二極管與門、或門和CMOS與門、或門、非門。2、數(shù)字集成電路有兩大類:由MOSFET構(gòu)成,簡稱CMOS集成電路;由三極管組成,簡稱TTL集成電路。

TTL:輸入懸空為1。3、CMOS門電路符號及特點(diǎn):OD門、傳輸門、三態(tài)門。TTL門電路符號及特點(diǎn):OC門、三態(tài)門。4、CMOS與TTL門電路輸入輸出特性的概念。P溝道N溝道1.與非門2.或非門漏極開路(OD)輸出的與非門CMOS傳輸門(TG)三極管反相器TTL反相器三極管反相器TTL反相器其他邏輯功能的門電路1.與非門2.或非門3.與或非門TTL:OC門和三態(tài)輸出門的特點(diǎn)。TTL門電路輸入端與地(低電平)之間的電阻:RP>2K,輸入端相當(dāng)于接高電平-VCC,RP<700,輸入端相當(dāng)于接低電平-GND。0110高阻001TTL門電路判斷輸出的狀態(tài):高、低電平或高阻態(tài)VCC換成GND呢?VCC換成GND呢?高阻態(tài)低電平CMOS門電路判斷輸出的狀態(tài):高、低電平或高阻態(tài)01101.組合邏輯電路的特點(diǎn):電路任一時刻的輸出狀態(tài)只決定于該時刻各輸入狀態(tài)的組合,而與電路的原狀態(tài)無關(guān)。組合電路是由門電路組合而成,電路中沒有記憶單元,沒有反饋通路。2.組合邏輯電路的分析步驟為:寫出各輸出端的邏輯表達(dá)式→化簡和變換邏輯表達(dá)式→列出真值表→確定功能。3.組合邏輯電路的設(shè)計步驟為:根據(jù)設(shè)計要求列出真值表→寫出邏輯表達(dá)式(或填寫卡諾圖)→邏輯化簡和變換→畫出邏輯圖第四章組合邏輯電路邏輯電路圖邏輯表達(dá)式

1

1最簡與或表達(dá)式化簡

2

2從輸入到輸出逐級寫出組合邏輯電路的分析方法給定邏輯電路圖通過分析找出電路的邏輯功能2最簡與或表達(dá)式

3真值表

3

4電路的邏輯功能當(dāng)輸入A、B、C中有2個或3個為1時,輸出Y為1,否則輸出Y為0。所以這個電路實(shí)際上是一種3人表決用的組合電路:只要有2票或3票同意,表決就通過。

4步驟:根據(jù)要求設(shè)計出實(shí)際邏輯電路確定輸入、輸出列出真值表寫出表達(dá)式并簡化畫邏輯電路圖形式變換根據(jù)設(shè)計所用芯片要求退出下一頁上一頁真值表電路功能描述

1邏輯抽象

1輸入變量:

紅(R)、黃(A)、綠(G) 規(guī)定燈亮為1,不亮為0。輸出變量:故障信號(Z)正常為0,故障為1。輸入變量輸出RAGZ00010010010001111000101111011111組合邏輯電路的設(shè)計方法寫出函數(shù)式

2

2選定器件類型為小規(guī)模門電路

3化簡邏輯函數(shù)式

4

4

5化出邏輯電路圖

5由與門和或門組成4.常用的中規(guī)模組合邏輯器件:編碼器,譯碼器74LS139、74LS138,數(shù)據(jù)選擇器74LS153、151,加法器等。5.應(yīng)用中規(guī)模組合邏輯器件進(jìn)行組合邏輯電路設(shè)計的一般原則:使用芯片的個數(shù)和品種型號最少,芯片之間的連線最少。6.用中規(guī)模組合邏輯芯片設(shè)計組合邏輯電路最簡單和最常用的方法:用數(shù)據(jù)選擇器設(shè)計多輸入、單輸出的邏輯函數(shù);用二進(jìn)制譯碼器設(shè)計多輸入、多輸出的邏輯函數(shù)。74HC138的功能表:輸入輸出S1A2A1A00XXXX11111111X1XXX1111111110000111111101000111111101100101111101110011111101111010011101111101011101111110110101111111011101111111注意:輸出低電平有效。集成譯碼器實(shí)例:74HC138低電平輸出附加控制端二進(jìn)制譯碼器又叫變量譯碼器或最小項譯碼器集成3線–8線譯碼器

--74LS138引腳排列圖功能示意圖輸入選通控制端芯片禁止工作芯片正常工作VCC地1324567816151413121110974LS138Y0Y1Y2Y3Y4Y5Y6A0A1A2S3S2S1Y774LS138Y0Y1Y2Y3Y4Y5Y6A0A1A2S3S2S1Y0Y1Y2Y3Y4Y5Y6Y7A0A1A2STBSTCSTAY7用譯碼器設(shè)計組合邏輯電路1.基本原理

3位二進(jìn)制譯碼器給出3變量的全部最小項;

。。。

n位二進(jìn)制譯碼器給出n變量的全部最小項;任意函數(shù): 利用附加的門電路將n位二進(jìn)制譯碼輸出的最小項組合起來,可獲得任何形式的輸入變量≤n的組合函數(shù)。例:利用74HC138設(shè)計一個多輸出的組合邏輯電路,輸出邏輯函數(shù)式為:寫出函數(shù)的最小項之和形式,并變換為與非-與非形式。[例]

試用集成譯碼器設(shè)計一個全加器。(1)選擇譯碼器:[解]ΣCOCISiAiBiCi-1Ci全加器的符號如圖所示選3線–8線譯碼器74LS138(2)寫出函數(shù)的標(biāo)準(zhǔn)與非-與非式[例]

試用集成譯碼器設(shè)計一個全加器。[解]ΣCOCISiAiBiCi-1Ci(2)函數(shù)的標(biāo)準(zhǔn)與非-與非式選3線–8線譯碼器74LS13874LS138Y0Y1Y2Y3Y4Y5Y6Y7A0A1A2STBSTCSTA1(3)確認(rèn)表達(dá)式AiBiCi-1(4)畫連線圖&Ci&SiD3=1D3=0二進(jìn)制譯碼器的級聯(lián)兩片3線–8線4線-16線思考:

用139設(shè)計3變量的組合邏輯函數(shù)。

用138設(shè)計4變量的組合邏輯函數(shù)。用數(shù)據(jù)選擇器設(shè)計組合電路1.基本原理

具有n位地址輸入的數(shù)據(jù)選擇器,可產(chǎn)生任何形式的輸入變量不大于n+1的組合函數(shù)。用數(shù)據(jù)選擇器實(shí)現(xiàn)組合邏輯函數(shù)選擇器輸出為標(biāo)準(zhǔn)與或式,含地址變量的全部最小項。例如而任何組合邏輯函數(shù)都可以表示成為最小項之和的形式,故可用數(shù)據(jù)選擇器實(shí)現(xiàn)。4選18選1一般情況下,Di可以當(dāng)成一個變量(原或反、0或1)處理。注意選通使能信號,設(shè)計時應(yīng)使得。應(yīng)用舉例[例]用數(shù)據(jù)選擇器實(shí)現(xiàn)函數(shù)[解](2)標(biāo)準(zhǔn)與或式(1)選用

4選1數(shù)據(jù)選擇器74LS153數(shù)據(jù)選擇器(3)確定輸入變量和地址碼的對應(yīng)關(guān)系令A(yù)1

=A,A0=B則D0=0D1=D2=C

D3=1方法一:FABY1/2

74LS153D3D2D1D0A1A0ST1C(4)畫連線圖方法二:FBCY1/2

74LS153D3D2D1D0A1A0ST1A令A(yù)1

=B,A0=C應(yīng)用舉例[例]用數(shù)據(jù)選擇器實(shí)現(xiàn)函數(shù)[解]則

D0=0D1=D2=A

D3=1畫連線圖方法三:令A(yù)1

=A,A0=C自己練習(xí)[例]用數(shù)據(jù)選擇器實(shí)現(xiàn)函數(shù)[解](2)標(biāo)準(zhǔn)與或式(1)選用8選1數(shù)據(jù)選擇器74LS1518選1數(shù)據(jù)選擇器(3)確定輸入變量和地址碼的對應(yīng)關(guān)系(4)畫連線圖令A(yù)2

=A,A1=B,A0=C則

D3=D5=D6=D7=1D0=D1=D2=D4=0例:用兩個“四選一”接成“八選一”思考題:用8選1數(shù)據(jù)選擇器74LS151、4選1數(shù)據(jù)選擇器74LS153、譯碼器74LS138設(shè)計三人表決電路、交通信號燈電路。總結(jié):對于使用門電路(小規(guī)模器件)設(shè)計組合邏輯函數(shù)需要化簡,而采用集成電路(中規(guī)模器件,例如74LS138、74LS151

)設(shè)計組合邏輯函數(shù)不需要化簡,直接使用最小項的形式。基本原理: 將要產(chǎn)生的函數(shù)變換成輸入變量與輸入變量相加或輸入變量與常量相加。例4.3.7:將BCD的8421碼轉(zhuǎn)換為余3碼用加法器設(shè)計組合邏輯電路8421碼+0011=余3碼觸發(fā)器和門電路是構(gòu)成數(shù)字系統(tǒng)的基本邏輯單元。前者具有記憶功能,用于構(gòu)成時序邏輯電路;后者沒有記憶功能,用于構(gòu)成組合邏輯電路。觸發(fā)器有兩個基本特性:①有兩個穩(wěn)定狀態(tài);②在外信號作用下,兩個穩(wěn)定狀態(tài)可相互轉(zhuǎn)換,沒有外信號作用時,保持原狀態(tài)不變。因此,觸發(fā)器具有記憶功能,常用來保存二進(jìn)制信息。一個觸發(fā)器可存儲1位二進(jìn)制碼,存儲n位二進(jìn)制碼則需用n個觸發(fā)器。第五章觸發(fā)器觸發(fā)器分類:重點(diǎn):觸發(fā)器外部邏輯功能、觸發(fā)方式。結(jié)構(gòu)分類功能分類脈沖觸發(fā)(主從)電平觸發(fā)(同步)邊沿觸發(fā)SR觸發(fā)器JK觸發(fā)器T觸發(fā)器D觸發(fā)器觸發(fā)器的邏輯功能:觸發(fā)器的次態(tài)與初態(tài)(現(xiàn)態(tài))及輸入信號之間的邏輯關(guān)系。其描述方法:主要有特性表、特性方程、狀態(tài)轉(zhuǎn)換圖和波形圖(又稱時序圖)等?!镉|發(fā)器根據(jù)邏輯功能不同分為:

D

觸發(fā)器T

觸發(fā)器SR

觸發(fā)器JK

觸發(fā)器T′觸發(fā)器10Q*10DQ*

=

DQ’QQ*10T不定01

QQ*11011000SRQ*

=S

+

R’QSR

=

0(約束條件)Q’10

QQ*11011000KJQ*

=JQ’+

K’Q只有CP輸入端,無數(shù)據(jù)輸入端。來一個CP翻轉(zhuǎn)一次Q*

=

Q’邏輯功能:與電路結(jié)構(gòu)無固定對應(yīng)關(guān)系。是與輸入及在CLK作用后穩(wěn)態(tài)之間的關(guān)系(RS,JK,D,T)同一種電路結(jié)構(gòu)形式可以接成不同邏輯功能的觸發(fā)器,反之同一種邏輯功能的觸發(fā)器可以用不同的電路結(jié)構(gòu)實(shí)現(xiàn)。電路結(jié)構(gòu)形式:決定觸發(fā)方式。具有不同的動作特點(diǎn)(轉(zhuǎn)換狀態(tài)的動態(tài)過程)(電平,脈沖,邊沿)同步SR對應(yīng)電平觸發(fā);主從SR對應(yīng)脈沖觸發(fā);兩個電平觸發(fā)D觸發(fā)器、維持阻塞結(jié)構(gòu)、利用門電路

Tpd對應(yīng)邊沿觸發(fā)。觸發(fā)器的電路結(jié)構(gòu)和邏輯功能、觸發(fā)方式的關(guān)系電路結(jié)構(gòu)和邏輯功能是觸發(fā)器最重要的兩個屬性。電平觸發(fā)器邊沿觸發(fā)器脈沖觸發(fā)器★根據(jù)觸發(fā)方式不同分為:

例如Q’Q1JJC1CP1KKQ’Q1JJC1CP1KKQ’Q1JJC1CP1KK注意(1)

弄清時鐘觸發(fā)沿是上升沿還是下降沿?(2)弄清有無異步輸入端?異步置0端和異步置1端是低電平有效還是高電平有效?(4)

邊沿觸發(fā)器的邏輯功能和特性方程與同步觸發(fā)器的相同,但由于觸發(fā)方式不一樣,因此,它們的邏輯功能和特性方程成立的時間不同。邊沿觸發(fā)器的邏輯功能和特性方程只在時鐘的上升沿(或下降沿)成立。(3)

異步端不受時鐘CP控制,將直接實(shí)現(xiàn)置0或置1。觸發(fā)器工作時,應(yīng)保證異步端接非有效電平。圖5.4.4具有多輸入端的主從JK

觸發(fā)器J=J1·J2K=K1·K2第六章時序電路

時序電路通常由記憶電路及組合電路兩部分組成,具有記憶作用。時序電路可分類:同步時序電路的分析同步時序電路的設(shè)計

同步時序電路:按功能分:同步及異步時序電路。按輸出信號特點(diǎn):米利型和穆尓型4.時序邏輯電路的設(shè)計步驟一般為:設(shè)計要求→最簡狀態(tài)表→狀態(tài)編碼表→次態(tài)卡諾圖→驅(qū)動方程、輸出方程→邏輯圖。2.描述時序邏輯電路邏輯功能的方法:狀態(tài)轉(zhuǎn)換真值表、狀態(tài)轉(zhuǎn)換圖和時序圖等。3.時序邏輯電路的分析步驟一般為:邏輯圖→時鐘方程(異步)、驅(qū)動方程、輸出方程→狀態(tài)方程→狀態(tài)轉(zhuǎn)換真值表→狀態(tài)轉(zhuǎn)換圖和時序圖→邏輯功能。1.時序邏輯電路的特點(diǎn):任一時刻輸出狀態(tài)不僅取決于當(dāng)時的輸入信號,還與電路的原狀態(tài)有關(guān)。因此時序電路中必須含有存儲器件。時序電路分析方法寫出各觸發(fā)器的驅(qū)動方程寫觸發(fā)器的特性方程根據(jù)邏輯圖得出輸出方程把驅(qū)動方程代入特性方程寫觸發(fā)器的狀態(tài)方程得到電路的邏輯功能同步時序電路的分析方法輸入端的表達(dá)式,如T、J、K、D得出狀態(tài)轉(zhuǎn)換真值表。輸出端Q*的表達(dá)式【例6.2.1】試分析圖6.2.1時序邏輯電路的邏輯功能,寫出它的驅(qū)動方程、狀態(tài)方程和輸出方程。FF1、FF2和FF3,是三個主從結(jié)構(gòu)的TTL觸發(fā)器,下降沿動作,輸入端懸空時和邏輯1狀態(tài)等效。圖6.2.1時序邏輯電路J1J2J3K1K2K3組合電路存儲電路解:(6.2.1)J1J2J3K1K2K3(6.2.2)(6.2.3)62解:輸出方程(6.2.3)將Q3Q2Q1=000帶入再將Q3Q2Q1=001帶入(6.2.2)和(6.2.3)中,計算又可獲得一組新的次態(tài)和輸出值。如此繼續(xù)下去即可發(fā)現(xiàn),當(dāng)Q3Q2Q1=110時,次態(tài)Q3*Q2*Q1*=000,返回了最初設(shè)定的初態(tài)。630000010001010001001100111000100101010111001100001111000100000100102010030110410005101061101700000111110000電路的狀態(tài)轉(zhuǎn)換表電路狀態(tài)轉(zhuǎn)換表的另一種形式Q3Q2Q1的狀態(tài)組合共有8種,而根據(jù)上述計算過程列出的狀態(tài)轉(zhuǎn)換表中只有7種狀態(tài),缺少Q(mào)1Q2Q3=111這個狀態(tài),Q1Q2Q3=111不在循環(huán)之內(nèi),稱為無效狀態(tài)。下頁上頁64狀態(tài)轉(zhuǎn)換表→

→狀態(tài)轉(zhuǎn)換圖000001111110101100010011/0/0/0/0/0/0/1/1電路的狀態(tài)轉(zhuǎn)換圖/Y電路能自啟動,邏輯功能:七進(jìn)制加法計數(shù)器。6.計數(shù)器是一種簡單而又最常用的時序邏輯器件。計數(shù)器不僅能用于統(tǒng)計輸入脈沖的個數(shù),還常用于分頻、定時、產(chǎn)生節(jié)拍脈沖等。5.寄存器分為寄存器和移位寄存器兩種。7.用已有的M進(jìn)制集成計數(shù)器產(chǎn)品可以構(gòu)成N(任意)進(jìn)制的計數(shù)器。移位寄存器串并行轉(zhuǎn)換原理。4位同步二進(jìn)制69原理:在N進(jìn)制計數(shù)器的計數(shù)過程中,設(shè)法使其跳越N-M個狀態(tài),就可以得到M進(jìn)制計數(shù)器。N進(jìn)制計數(shù)器M進(jìn)制計數(shù)器M<N兩種可能的情況:M>N置零法(或稱復(fù)位法)置數(shù)法(或稱置位法)實(shí)現(xiàn)跳越的方法:任意進(jìn)制計數(shù)器的構(gòu)成方法1.M<N

的情況N進(jìn)制計數(shù)器1、用同步清零端或置數(shù)端歸零構(gòu)成N進(jìn)置計數(shù)器2、用異步清零端或置數(shù)端歸零構(gòu)成N進(jìn)置計數(shù)器(1)寫出狀態(tài)SN-1的二進(jìn)制代碼。(2)求歸零邏輯,即求同步清零端或置數(shù)控制端信號的邏輯表達(dá)式。(3)畫連線圖。(1)寫出狀態(tài)SN的二進(jìn)制代碼。(2)求歸零邏輯,即求異步清零端或置數(shù)控制端信號的邏輯表達(dá)式。(3)畫連線圖。利用集成計數(shù)器的清零端和置數(shù)端實(shí)現(xiàn)歸零,從而構(gòu)成按自然態(tài)序進(jìn)行計數(shù)的N進(jìn)制計數(shù)器的方法。71下頁返回上頁(a)置入0000000000100001010101000011111011111100110110101011100010010111011074160的狀態(tài)轉(zhuǎn)換圖置數(shù)信號同步置數(shù)74160的置數(shù)是同步的,應(yīng)該在0101的時候就產(chǎn)生置數(shù)信號LD’。0000例題:利用74160接成同步六進(jìn)制計數(shù)器72(b)置入1001

000000100001010101000011111011111100110110101011100010010111011074160的狀態(tài)轉(zhuǎn)換圖置數(shù)信號1100置入1001,應(yīng)該在0100的時候就產(chǎn)生置數(shù)信號。例:用74LS161來構(gòu)成一個十二進(jìn)制計數(shù)器。SN=S12=1100D0~D3可隨意處理D0~D3必須都接0SN-1=S11=1011計數(shù)器的練習(xí)題參考課后作業(yè)題:6.116.126.136.146.156.16可變進(jìn)制計數(shù)器的設(shè)計75第七章半導(dǎo)體存儲器1、基本概念2、存儲器容量的擴(kuò)展3、用存儲器實(shí)現(xiàn)組合邏輯函數(shù)位擴(kuò)展方式:例:用八片1024x1位→1024x8位的RAMRAM的位擴(kuò)展接法例:用四片256x8位→1024x8位RAM2線—4線譯碼器字?jǐn)U展方式:[例7.5.2]

試用ROM產(chǎn)生如下的一組多輸出邏輯 函數(shù)。解:將輸出函數(shù)展開成標(biāo)準(zhǔn)與-或表達(dá)式:下頁返回上頁第十章脈沖波形的產(chǎn)生和整形施密特觸發(fā)器特點(diǎn)及其應(yīng)用單穩(wěn)態(tài)觸發(fā)器特點(diǎn)及其應(yīng)用多諧振蕩器特點(diǎn)及其應(yīng)用555定時器及其應(yīng)用施密特觸發(fā)器(SchmittTrigger): 脈沖波形變換中經(jīng)常使用的電路。兩個重要特點(diǎn):10.2施密特觸發(fā)器

第一,輸入信號從低電平上升的過程中,電路狀態(tài)轉(zhuǎn)換時對應(yīng)的輸入電平,與輸入信號從高電平下降過程中對應(yīng)的輸入轉(zhuǎn)換電平不同。(有兩個不同的閾值VT+和VT-)第二,在電路狀態(tài)轉(zhuǎn)換時,通過電路內(nèi)部的正反饋過程使輸出電壓波形的邊沿變得很陡。施密特觸發(fā)器和單穩(wěn)態(tài)觸發(fā)器是兩種常用的整形電路,可將輸入的周期信號整形成符合要求的同周期矩形脈沖。施密特觸發(fā)器具有回差特性,它有兩個穩(wěn)定狀態(tài),有兩個不同的觸發(fā)電平。施密特觸發(fā)器可將任意波形變換成矩形脈沖,輸出脈沖寬度取決于輸入信號的波形和

回差電壓的大小。施密特觸發(fā)器的應(yīng)用:波形變換、脈沖整形、脈沖鑒別幅度。一、單穩(wěn)態(tài)觸發(fā)器的工作特性:10.3單穩(wěn)態(tài)觸發(fā)器第一,它有穩(wěn)態(tài)和暫穩(wěn)態(tài)兩個不同的工作狀態(tài);第二,在外界觸發(fā)脈沖作用下,能從穩(wěn)態(tài)翻轉(zhuǎn)到暫穩(wěn)態(tài),在暫穩(wěn)態(tài)維持一段時間以后,再自動返回穩(wěn)態(tài);第三,暫穩(wěn)態(tài)維持時間的長短取決于電路本身的參數(shù),與觸發(fā)脈沖的寬度和幅度無關(guān)。二、單穩(wěn)態(tài)觸發(fā)器的用途單穩(wěn)態(tài)觸發(fā)器具備輸出定時脈沖的特點(diǎn),所以被廣泛應(yīng)用于:脈沖整形,把不規(guī)則的波形轉(zhuǎn)換成寬度、幅度都相等的波形。延時(產(chǎn)生滯后于觸發(fā)脈沖的輸出脈沖)。定時(產(chǎn)生固定時間寬度的脈沖信號)。多諧振蕩器是一種自激振蕩器,在接通電源以后,不需要外加觸發(fā)信號,便能自動地產(chǎn)生矩形脈沖。

多諧振蕩器應(yīng)至少能夠設(shè)置振蕩頻率,完善的振蕩器還應(yīng)能改變占空比,改變振幅。10.4多諧振蕩器由于矩形波中含有豐富的高次諧波分量,所以習(xí)慣上又把矩形波振蕩器叫做多諧振蕩器。多諧振蕩器沒有穩(wěn)定狀態(tài),只有兩個暫穩(wěn)態(tài)。暫穩(wěn)態(tài)間的相互轉(zhuǎn)換完全靠電路本身電容的充電和放電自動完成。因此,多諧振蕩器接通電源后就能輸出周期性的矩形脈沖。改變R、C定時元件數(shù)值的大小,可調(diào)節(jié)振蕩頻率。

555定時器:是一種多用途的數(shù)字—模擬混 合集成電路。10.5555定時器及其應(yīng)用可構(gòu)成施密特觸發(fā)器單穩(wěn)態(tài)觸發(fā)器多諧振蕩器555定時器是既經(jīng)濟(jì)又簡單實(shí)用的器件。下頁返回上頁用555定時器接成的施密特觸發(fā)器濾波電容,為提高VR1和VR2的穩(wěn)定性信號輸入端5627vI1vI2VR2VR10.01μFvIvO5kΩ5kΩ5kΩTDG2Q'Q8431+-C1+-C2G1G3G4VCC

vC2vC1VR1將555定時器的

vI1

vI2

兩個輸入端連在一起作為信號輸入端,即可得到施密特觸發(fā)器。5V1001014V2V0導(dǎo)通用555定時器接成的單穩(wěn)態(tài)觸發(fā)器vc

≈06V觸發(fā)信號的輸入端∴沒有觸發(fā)信號時vI處于高電平,穩(wěn)態(tài)時一定處于vc1=vc2=1,

Q=0,vo=0上電后如果Q=0用555定時器接成的多諧振蕩器電路組成充電回路:VCC→R1→R2→C→地8→

6(2)→C

1放電回路:C→R2→T→地1→

6(2)→

7工作原理555電路接成施密特觸發(fā)器形式,

RC充電常數(shù):

τ=(R1+R2)C

RC放電常數(shù):

τ=R2C高電平脈寬:低電平脈寬:(10.5.2)(10.5.3)下頁返回上頁電路的振蕩周期:(10.5.4)電路的振蕩頻率為:占空比:(10.5.6)如果希望q<50%?用CB555定時器組成的振蕩器,最高工作頻率可達(dá)500kHz。用555定時器組成的占空比可調(diào)的多諧振蕩器充電回路:VCC→R1→D1→C→地放電回路:C→D2→R2→T→地

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論