第6章計(jì)算機(jī)的控制器部件_第1頁
第6章計(jì)算機(jī)的控制器部件_第2頁
第6章計(jì)算機(jī)的控制器部件_第3頁
第6章計(jì)算機(jī)的控制器部件_第4頁
第6章計(jì)算機(jī)的控制器部件_第5頁
已閱讀5頁,還剩60頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

第6章控制器本章知識(shí)點(diǎn)1.控制器的功能、控制器的主要組成2.從控制信號(hào)生成的角度,控制器分類,各自生成信號(hào)的方式3.硬連線控制器主要組成部件4.節(jié)拍發(fā)生器的作用是,節(jié)拍分配遵循的原則5.熟悉指令的執(zhí)行各個(gè)步驟及控制器應(yīng)產(chǎn)生的控制信號(hào)。6.硬連線控制器的特點(diǎn)1.控制器的功能計(jì)算機(jī)的功能是執(zhí)行程序 程序是依次排列起來的指令代碼

控制器的功能就在于:

正確地分步完成每一條指令規(guī)定的功能,

正確且自動(dòng)地連續(xù)執(zhí)行指令;再進(jìn)一步說,就是向計(jì)算機(jī)各功能部件提供協(xié)調(diào)運(yùn)行每條指令的每個(gè)步驟所需要的控制信號(hào)。2.控制器控制的各部件運(yùn)算器部件主存儲(chǔ)器部件總線控制器部件本身控制器設(shè)計(jì)中的重點(diǎn),在于解決對(duì)運(yùn)算器、控制器的控制!輸入/輸出接口(輸入/輸出設(shè)備)和也包括如何設(shè)計(jì)控制器?涉及的內(nèi)容?3.控制器的設(shè)計(jì)(1)劃分指令執(zhí)行步驟,及每個(gè)步驟的具體操作功能;(2)確定在實(shí)現(xiàn)這些操作時(shí),相關(guān)功能部件所需的控制信號(hào);(3)選用何種邏輯線路、什么樣的處理方案,向各部件提供控制信號(hào)。4.每條指令的執(zhí)行步驟—讀取指令

指令地址送入主存地址寄存器讀主存,讀出內(nèi)容送入指定的寄存器—分析指令—按指令規(guī)定內(nèi)容執(zhí)行指令不同指令的操作步驟數(shù),和具體操作內(nèi)容差異很大,

—檢查有無中斷請(qǐng)求若有,則響應(yīng)中斷并轉(zhuǎn)中斷處理若無,則轉(zhuǎn)入下一條指令的執(zhí)行過程形成下一條指令地址R_R類讀寫內(nèi)存類輸入輸出類其他類

可能執(zhí)行一次或多次

是一次讀內(nèi)存操作公共操作公共操作是每一條指令的特定操作5.控制器的組成運(yùn)算器Y15~Y0CZVSD15~D0…ARDRIRPCSPPSW運(yùn)算器的控制信號(hào)+1時(shí)序、控制信號(hào)生成部件內(nèi)部總線ABDBCB各寄存器的控制信號(hào)譯碼內(nèi)存儲(chǔ)器I/O接口+/-1控制器中主要的寄存器IR:存放現(xiàn)行指令,指令從存儲(chǔ)器中取出。PC:存放指令在存儲(chǔ)器中的地址,程序開始執(zhí)行前,將起始地址(第一條指令地址)→PC,PC應(yīng)具有寄存信息和計(jì)數(shù)兩種功能。AR:保存當(dāng)前CPU所訪問內(nèi)存單元地址或IO端口號(hào)。DR:暫時(shí)存放指令或數(shù)據(jù):一條指令(來自內(nèi)存),或一個(gè)數(shù)據(jù)字(來自或送往內(nèi)存或外設(shè))CPU與M、I/O之間信息傳送的中轉(zhuǎn)站,補(bǔ)償速度差別在單累加器結(jié)構(gòu)的運(yùn)算器中,可兼作操作數(shù)寄存器PSW:指示程序工作方式、反映程序運(yùn)行結(jié)果SP:棧頂指針寄存器控制器的組成①程序計(jì)數(shù)器PC

存放指令地址,有增量或接收新值的功能②指令寄存器IR

存放指令內(nèi)容:操作碼與操作數(shù)地址③指令執(zhí)行步驟標(biāo)記線路

指明每條指令的執(zhí)行步驟和相對(duì)次序關(guān)系④控制信號(hào)產(chǎn)生線路給出計(jì)算機(jī)各功能部件協(xié)同運(yùn)行所需要的全部控制信號(hào)主脈沖源與啟??刂凭€路控制器組成和在整機(jī)中的地位在計(jì)算機(jī)硬件系統(tǒng)中,控制器處于指揮控制地位①②③④6.兩種不同類型的控制器

根據(jù)時(shí)序、控制信號(hào)生成部件的組成和運(yùn)行原理的不同,有兩類控制器:硬連線控制器(組合邏輯控制器):依據(jù)指令及其執(zhí)行步驟通過電子線路直接產(chǎn)生控制信號(hào)(生成法)微程序控制器:事先把所有控制信號(hào)組合存放在存儲(chǔ)器中,再依據(jù)指令執(zhí)行步驟讀出要用到的信號(hào)組合(查表法)兩種控制器對(duì)比組合邏輯微程序相同點(diǎn)功能、控制信號(hào)不同點(diǎn)控制信號(hào)生成部件實(shí)現(xiàn)方式直接用電路實(shí)現(xiàn)事先將控制信號(hào)存儲(chǔ)在控存,需要時(shí)再讀出步驟標(biāo)記實(shí)現(xiàn)方式節(jié)拍下地址性能性能好,擴(kuò)展性、兼容性差,多用于RISC性能差,擴(kuò)展性、兼容性好,多用于CISC控制信號(hào)形成部件節(jié)拍發(fā)生器Timing程序計(jì)數(shù)器PC….控制條件(如狀態(tài)字、外部復(fù)位信號(hào)等)7.組合邏輯控制器的組成指令寄存器IR控制信號(hào)輸出+1輸入:指令操作碼、控制條件(如指令狀態(tài)字、外部reset信號(hào)等)、指令步驟編碼(節(jié)拍)輸出:全部控制信號(hào)主要解決的問題:節(jié)拍轉(zhuǎn)換、控制信號(hào)生成硬連線控制器由程序計(jì)數(shù)器PC、指令寄存器IR、節(jié)拍發(fā)生器Timer和控制信號(hào)產(chǎn)生部件CU4部分組成:PC用于提供待讀出指令在主存儲(chǔ)器中的地址;IR用于保存從主存儲(chǔ)器中讀出的指令內(nèi)容;Timer用于給出并維護(hù)指令執(zhí)行步驟的編碼;控制信號(hào)產(chǎn)生部件用于依據(jù)指令內(nèi)容(在IR中)和指令執(zhí)行所處的操作步驟(Timer提供),用組合邏輯線路產(chǎn)生計(jì)算機(jī)本操作步驟中各個(gè)部件所需要的控制信號(hào)。指令執(zhí)行步驟標(biāo)記:節(jié)拍發(fā)生器一條指令的執(zhí)行時(shí)間被稱為指令周期,一個(gè)指令周期通常由幾個(gè)CPU周期組成。指令的總體功能被分配在這幾個(gè)CPU周期依次完成,每個(gè)周期完成指令的一部分功能。不同指令依據(jù)其功能的復(fù)雜程度,將使用不同數(shù)目的CPU時(shí)鐘,會(huì)造成CPU周期有不同的銜接次序。為此需要設(shè)計(jì)專門的電路,實(shí)現(xiàn)時(shí)鐘周期的切換和銜接。這個(gè)電路是用觸發(fā)器構(gòu)成的時(shí)序邏輯電路。有的計(jì)算機(jī)又把一個(gè)CPU周期劃分幾個(gè)更小的執(zhí)行步驟,稱其為節(jié)拍。也可以使一個(gè)CPU周期就是一個(gè)節(jié)拍,則可以把實(shí)現(xiàn)CPU周期轉(zhuǎn)換的電路叫做節(jié)拍發(fā)生器(Timer/Timing)。教學(xué)計(jì)算機(jī)TEC-2000的指令是如何被執(zhí)行的?執(zhí)行步驟?各指令所需的控制信號(hào)?各指令在執(zhí)行中如何協(xié)調(diào)多部件?一段小程序若X<10,則將X加10,否則不變。匯編程序如下:(假設(shè)X分配內(nèi)存單元2010)A2000MVRDR0,2010LDRRR1,[R0]MVRDR2,000ACMPR1,R2JRCEXIT(2008)ADDR1,R2EXIT:RET2000:880020102002:81102003:8820000A2005:03122006:44012007:00122008:8F00結(jié)束,判中斷數(shù)據(jù)傳送指令MVRDR0,2010形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)④R0

(AR)(讀內(nèi)存)執(zhí)行當(dāng)前PC=2000H③ARPCPCPC+12000:88002010

2002:81102003:8820000A2005:03122006:44012007:00122008:8F00數(shù)據(jù)加載指令LDRRR1,[R0]形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)④R1(AR)(讀內(nèi)存)執(zhí)行當(dāng)前PC=2002H③ARR02000:88002010

2002:81102003:8820000A2005:03122006:44012007:00122008:8F00數(shù)據(jù)傳送指令MVRDR2,000A形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)執(zhí)行當(dāng)前PC=2003H③ARPCPCPC+12000:880020102002:81102003:8820000A2005:03122006:44012007:00122008:8F00④R2

(AR)(讀內(nèi)存)數(shù)據(jù)比較指令CMPR1,R2形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)③

R1-R2,更新標(biāo)志位執(zhí)行當(dāng)前PC=2005H2000:880020102002:81102003:8820000A2005:03122006:44012007:00122008:8F00轉(zhuǎn)移指令JRC2008形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)③

PCPC+IRL執(zhí)行當(dāng)前PC=2006H2000:880020102002:81102003:8820000A2005:03122006:44012007:00122008:8F00加法指令A(yù)DDR1,R2形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)③

R1R1+R2執(zhí)行當(dāng)前PC=2007H2000:880020102002:81102003:8820000A2005:03122006:44012007:00122008:8F00返回指令RET形成下條指令地址①ARPCPCPC+1取指②

讀主存:IR(AR)③

ARSPSPSP+1執(zhí)行當(dāng)前PC=2008H2000:880020102002:81102003:8820000A2005:03122006:44012007:00122008:8F00④

PC(AR)(讀內(nèi)存)8.指令執(zhí)行步驟取指2步:①PC(此處為R5)→AR②從內(nèi)存中讀指令→IR執(zhí)行若干步:算術(shù)邏輯運(yùn)算在通用寄存器之間進(jìn)行,1步完成,因?yàn)榧拇嫫鹘M和ALU在同一芯片內(nèi),已通過2個(gè)鎖存器連接好,ALU的計(jì)算結(jié)果直接送回寄存器組;讀寫存儲(chǔ)器,是在存儲(chǔ)器與通用寄存器之間進(jìn)行,2步完成,首先由ALU計(jì)算出數(shù)據(jù)的內(nèi)存地址并送到AR

,讀寫數(shù)據(jù)在下一步完成;讀寫IO接口,是在接口與通用寄存器之間進(jìn)行,2步完成,端口地址由指令低8位給出,經(jīng)ALU送到AR,讀寫數(shù)據(jù)在下一步完成;

2次訪問存指令正常需4步完成,有些指令可3步完成。問題一:為什么要將劃分指令執(zhí)行步驟?劃分步驟可以為后面確定各步驟所需要的控制信號(hào)做準(zhǔn)備問題二:各指令的執(zhí)行步驟有什么共性?雖然指令功能不同,但執(zhí)行步驟只有幾種:3、4、5、6步;前兩步均為取指,控制信號(hào)都相同。問題三:取指2步可否合為1步?劃分步驟以什么為依據(jù)?取指要分2步是因?yàn)锳R和IR不能同時(shí)接收。AR得到地址后,存儲(chǔ)器或IO讀寫要一個(gè)延時(shí);若IR和AR同時(shí)鎖存,鎖入IR的內(nèi)容可能不正確。所以劃分步驟是以寄存器先后鎖存為依據(jù)的。確定不同的步驟所需要的控制信號(hào),再根據(jù)指令的操作碼,就可以發(fā)出相應(yīng)的控制信號(hào)。可以用節(jié)拍發(fā)生器發(fā)出信號(hào)來區(qū)分和表示這些不同的步驟指令執(zhí)行步驟劃分依據(jù)9.TEC-2000控制器組成及數(shù)據(jù)通路

I/O設(shè)備地址總線數(shù)據(jù)總線1①R5經(jīng)A送AR,R5經(jīng)B+0+1(Cin提供)送B

②讀內(nèi)存到IR:中指令送IR0FYMVRDR0,2010取指過程數(shù)據(jù)通路①②取指

I/O設(shè)備地址總線數(shù)據(jù)總線③ARPCPCPC+1

④讀主存:

R0(AR)D100FYMVRDR0,2010執(zhí)行過程數(shù)據(jù)通路③④執(zhí)行

I/O設(shè)備地址總線數(shù)據(jù)總線1取指執(zhí)行DADDR0,R1的執(zhí)行過程與數(shù)據(jù)通路R5經(jīng)A送AR,R5經(jīng)B+0+1(Cin提供)送B讀內(nèi)存到IR:(AR)→IRB(R0)+A(R1)→B(R0),存標(biāo)志輸入指令I(lǐng)N80①ARPC,

PCPC+1取令②

讀主存,IR(AR)④R0(AR)(讀外設(shè))執(zhí)行③ARI/Oport

I/O設(shè)備地址總線數(shù)據(jù)總線IO端口地址(在IR低位字節(jié))經(jīng)ALU(D+0)送AR,讀外設(shè)(串口)并把D+0送R0執(zhí)行D00IN指令的執(zhí)行過程與數(shù)據(jù)通路調(diào)用子程序指令CALAADR①ARPC,

PCPC+1取指②

讀主存,IR(AR)⑤AR,SPSP-1執(zhí)行③ARPC,

PCPC+1④

讀主存,Q(AR)⑥(AR)PC(將PC寫入內(nèi)存)PC

Q擴(kuò)展指令:LDRXDR,OFFSET[SR]①ARPC,

PCPC+1取令②

讀主存,IR(AR)⑤DR(AR)(再次讀內(nèi)存,得到數(shù)據(jù))執(zhí)行④ARSR+(AR)(讀內(nèi)存,形成地址)③ARPC,

PCPC+1依據(jù)各功能部件本身的組成和運(yùn)行的控制需求來確定各部件所需要的控制信號(hào)10.各部件所需控制信號(hào)①控制器應(yīng)提供的運(yùn)算器控制信號(hào)Y15~Y0

用16個(gè)指示燈顯示結(jié)果D15~D0RAM15Q15RAM0Q0CinCyF=0OverF15運(yùn)算器部件(4片Am2901)A3~0B3~0I8~6

用16個(gè)數(shù)據(jù)開關(guān)提供輸入數(shù)據(jù)CZVSSSHSSTSCII5~3

I2~0SCISSHA口

B口I8~6

I5~3

I2~0

SST通過24位微型開關(guān)提供控制信號(hào)用4個(gè)指示燈顯示狀態(tài)Gal20V8Gal20V8

I/O設(shè)備地址總線數(shù)據(jù)總線D運(yùn)算器、控制器存儲(chǔ)器、串行口接口讀接口寫存儲(chǔ)器讀存儲(chǔ)器寫②讀寫主存儲(chǔ)器或I/O接口控制對(duì)內(nèi)存和I/O接口的讀寫-MRQ/MIO(0:有內(nèi)存或串口讀寫,1:無)

REQ(0:讀寫內(nèi)存,1:讀寫串行口)

/WE(0:寫操作,1:讀操作)000

寫內(nèi)存001

讀內(nèi)存010

寫串口011

讀串口1XX

無內(nèi)存和串口的讀寫操作讀寫主存儲(chǔ)器或I/O接口

對(duì)主存儲(chǔ)器讀寫的控制,分成兩步:

1.傳送主存地址,以選擇讀寫存儲(chǔ)單元;

2.給出讀寫命令,讀操作時(shí),把讀出數(shù)據(jù)保存起來,寫操作時(shí),還要給出寫入的數(shù)據(jù)。對(duì)接口電路讀寫的控制,分成兩步:

1.傳送IO端口地址,以選擇讀寫芯片;

2.給出讀寫命令,讀操作時(shí),把讀出數(shù)據(jù)保存起來,寫操作時(shí),還要給出寫入的數(shù)據(jù)。③內(nèi)部總線數(shù)據(jù)來源控制

I/O設(shè)備地址總線數(shù)據(jù)總線D運(yùn)算器、控制器存儲(chǔ)器、串行口狀態(tài)到內(nèi)部總線IR低8位進(jìn)入數(shù)據(jù)總線從開關(guān)到內(nèi)部總線ALU到內(nèi)部總線內(nèi)存數(shù)據(jù)到數(shù)據(jù)總線內(nèi)部總線數(shù)據(jù)來源選擇編碼控制DC1DC1譯碼信號(hào)說明000/SWTOIB送外部數(shù)據(jù)總線上的數(shù)據(jù)(可來自內(nèi)存、外設(shè)、開關(guān))到內(nèi)部總線001/RTOIB送ALU輸出到內(nèi)部總線,用于寫內(nèi)存、外設(shè)010/ETOIB送IRL到內(nèi)部總線,用于提供

IO端口地址或相對(duì)轉(zhuǎn)移的偏移量011/FTOIB送程序狀態(tài)字到內(nèi)部總線,用于PSHF

指令寫程序狀態(tài)字進(jìn)堆棧100/101/16位機(jī)不用110/INTV送中斷向量到內(nèi)部總線,如響應(yīng)中斷時(shí)的中斷處理程序首地址111NC無操作④專用寄存器接收的編碼控制DC2DC2編碼譯碼信號(hào)操作說明000NC

無寄存器接收數(shù)據(jù)001/GIR

指令寄存器接收010/16位機(jī)不用011/GAR

地址寄存器接收100/INTR

恢復(fù)原中斷優(yōu)先級(jí)101/INTN

接收新中斷優(yōu)先級(jí)110/EI

轉(zhuǎn)義用于開中斷指令111/DI

轉(zhuǎn)義用于關(guān)中斷指令控制器生成的控制信號(hào)匯總控制運(yùn)算器I8~6,I5~3,I2~0,A3~0,B3~0SST2~0,SSH1~0,SCI1~0共24位控制內(nèi)存或IO接口MIO,REQ,WE 計(jì)3位控制內(nèi)部總線DC1_2~0 計(jì)3位控制專用寄存器接收DC2_2~0 計(jì)3位總合計(jì)共33

位計(jì)算機(jī)的設(shè)計(jì)者必須按照指令運(yùn)行要求,為每條指令的每個(gè)執(zhí)行步驟確定這33位控制信號(hào)的正確值,為0

還是為1,或是0/1均可,即填寫指令流程表,原理不難但卻比較繁瑣,容不得一點(diǎn)錯(cuò)誤。3.控制器的設(shè)計(jì)(1)劃分指令執(zhí)行步驟,及每個(gè)步驟的具體操作功能;(2)確定在實(shí)現(xiàn)這些操作時(shí),相關(guān)功能部件所需的控制信號(hào);(3)選用何種邏輯線路、什么樣的處理方案,向各部件提供控制信號(hào)。已知:每條指令將用幾步完成;所有指令步驟已劃分好;每條指令的每個(gè)步驟需要什么控制信號(hào)。問:1.由什么器件發(fā)出控制信號(hào)?

---答:控制器2.控制器依據(jù)什么發(fā)出怎樣的控制信號(hào)?

---答:節(jié)拍發(fā)生器和指令操作碼控制器設(shè)計(jì)控制信號(hào)生成線路控制信號(hào)生成部件(線路)(現(xiàn)場(chǎng)可編程的大規(guī)模集成電路,實(shí)現(xiàn)與_或兩級(jí)構(gòu)成的組合邏輯)指令操作碼節(jié)拍狀態(tài)全部控制信號(hào)(教學(xué)機(jī)33個(gè))(分別用于各個(gè)部件)條件碼CZVS指出指令的功能,比如ADD,MVRR等指出是哪一類指令的第幾拍

節(jié)拍發(fā)生器時(shí)鐘脈沖信號(hào)CP指令的操作碼OPcode某些控制信息,如Reset等節(jié)拍的狀態(tài)編碼輸入輸出11.節(jié)拍發(fā)生器的設(shè)計(jì)節(jié)拍發(fā)生器的作用是用多位觸發(fā)器的輸出信號(hào)的不同組合狀態(tài)來標(biāo)識(shí)每條指令的不同執(zhí)行步驟節(jié)拍狀態(tài)編碼

教學(xué)機(jī)實(shí)現(xiàn)了29條基本指令,目前使用了8個(gè)節(jié)拍(不含中斷響應(yīng)步驟),因此,節(jié)拍發(fā)生器應(yīng)至少使用4位觸發(fā)器(24

個(gè)節(jié)拍);用4個(gè)觸發(fā)器(T3T2T1T0)不同的狀態(tài)組合來區(qū)分每一個(gè)節(jié)拍,例如,用T3T2T1T0=1000來標(biāo)識(shí)節(jié)拍。節(jié)拍數(shù)和節(jié)拍狀態(tài)的選定并不唯一。遵循的原則是:盡量做到從當(dāng)前節(jié)拍切換到下一個(gè)節(jié)拍時(shí),只有一個(gè)觸發(fā)器的狀態(tài)發(fā)生變化,或盡量使?fàn)顟B(tài)發(fā)生變化的觸發(fā)器數(shù)目最少。作為教學(xué)用的計(jì)算機(jī),以節(jié)拍關(guān)系更清晰、易理解最重要。還要考慮有利于對(duì)寫出的節(jié)拍發(fā)生器的邏輯表達(dá)式的邏輯化簡。PC0ARPCPCPC+1IR(AR)寄存器之間運(yùn)算與傳送

讀、寫內(nèi)存

AR地址AR地址

讀、寫內(nèi)存或I/O接口讀、寫內(nèi)存

PC地址A組B組C組D組/ResetB、C、D組10000000001000110110010001110101中斷響應(yīng)與處理XXXX第2次讀寫主存讀寫主存或接口取指ALU運(yùn)算16位機(jī)指令執(zhí)行流程CALAADDSUBANDORXORCMPTESTMVRRINCDECSHLSHRJRJRCJRNCJRZJRNZLDRRSTRRPUSHPOPPSHFPOPFMVRDINOUTJMPARET狀態(tài)轉(zhuǎn)移表按照狀態(tài)轉(zhuǎn)移表,寫出對(duì)應(yīng)的邏輯表達(dá)式,即反映每一個(gè)觸發(fā)器的完整的狀態(tài)轉(zhuǎn)換序列的邏輯表達(dá)式:(1)用一個(gè)與項(xiàng)表明在一個(gè)節(jié)拍中該觸發(fā)器狀態(tài)為1,參加與運(yùn)算的元素是:

前一節(jié)拍的4個(gè)觸發(fā)器的狀態(tài)值;

前一節(jié)拍進(jìn)到本節(jié)拍的限制條件,如指令組或具體的一條指令等,也可能沒有條件。(2)用多個(gè)或項(xiàng)匯總所有節(jié)拍中該觸發(fā)器的“1”。節(jié)拍發(fā)生器的設(shè)計(jì)原理T2表達(dá)式為:(:=為時(shí)序邏輯賦值符)T2:=C-M#*RESET*/T3*/T2*T1*/T0*/A

+C-M#*RESET*/T3*T2*T1*/T0*BD

+

C-M#*RESET*/T3*T2*T1*/T0*C+C-M#*RESET*/T3*T2*T1*T0+C-M#*RESET*/T3*T2*/T1*/T0*D寫邏輯表達(dá)式+C_M#

*RESET*/T3*T2*T1*/T0T3:=C-M#*/RESETT1:=C-M#*RESET*/T3*/T2*/T1*/T0+C-M#*RESET*/T3*/T2*T1*/T0*A

+

C-M#*RESET*/T3*/T2*T1*/T0*(B+C+D)

+

C-M#*

RESET*/T3*T2*T1*/T0*C

+C-M#*

RESET*/T3*T2*/T1*/T0*DT0:=C-M#*RESET*/T3*/T2*T1*/T0*A

+

C-M#*

RESET*/T3*T2*T1*/T0*C

+C-M#*RESET*/T3*T2*T1*T0

+C-M#*

RESET*/T3*T2*/T1*/T0*DPC0ARPCPCPC+1IR(AR)寄存器之間運(yùn)算與傳送讀、寫內(nèi)存AR地址AR地址讀、寫內(nèi)存或I/O接口讀、寫內(nèi)存

PC地址基本指令、擴(kuò)展指令執(zhí)行流程圖A組B組C組D組BCD組10000000001000110110010001110101T3:=/ResetT2:=

Reset*/T3*/T2*T1*/T0*IR15

+

Reset*/T3*T2*T1*/T0

+

Reset*/T3*T2*/T1*/T0

*IR15*IR14*IR11

+

Reset*/T3*T2*T1*T0

T1:=

Reset*/T3*/T2*/T1*/T0

+

Reset*/T3*/T2*T1*/T0

+

Reset*/T3*T2*T1*/T0*IR15*IR14*/IR11

+

Reset*/T3*T2*/T1*/T0

*IR15*IR14*IR11

T0:=Reset*/T3*/T2*T1*/T0*/IR15+Reset*/T3*T2*T1*T0

+Reset*/T3*T2*T1*/T0*IR15*IR14*/IR11+Reset*/T3*T2*/T1*/T0*IR15*IR14*IR11T3T2T1T0Reset節(jié)拍發(fā)生器的邏輯表達(dá)式0000無1000110100000101010100001000110000010關(guān)001000001000000000000000000001000001100000000100001011000DR

SR0010000000000節(jié)拍操作碼MRWI2~0

I8~6I5~3B口A口SSTSCISSH

DC2DC1指令步驟及功能MRWI2-I0來源I8-I6去向I5-I3功能ABSSTSCISSHDC1DC2PC→ARPC+1→PC無0BF→BA→YR+SR5R5不變Cin=1無無AR收存儲(chǔ)器→IR存儲(chǔ)器讀-無寫回---不變---IR收DR+SR→DR無ABF→BF→YR+SSRDR接收Cin=0無無無ADDDR,SR的三個(gè)步驟所需的控制信號(hào)指令步驟及功能MRWI2-I0來源I8-I6去向I5-I3功能ABSSTSCISSHDC1DC2PC→ARPC+1→PC無0BF→BA→YR+SR5R5不變Cin=1無無AR收讀存儲(chǔ)器→

DR存儲(chǔ)器讀D0F→BF→YR+S-DR不變Cin=0無送開關(guān)到內(nèi)部總線無MVRDDR,DATA兩步執(zhí)行所需的控制信號(hào)011010001000100011010000010101010000100011000010010001000001111011000DR00000000000000000節(jié)拍操作碼MRWI2~0

I8~6I5~3B口A口SSTSCISSHDC2DC1指令步驟MRWI2-I0I8-I6I5-I3ABSSTSCISSHDC1DC2DR→AR無0BF→YR+S無DR不變Cin=0無無AR收SR→存儲(chǔ)器存儲(chǔ)器寫0AF→YR+SSR-不變Cin=0無Y→內(nèi)部總線無其他一些指令各執(zhí)行步驟所需控制信號(hào)STRR[DR],SR執(zhí)行所需的控制信號(hào)(兩步)IRL→AR無D0F→YR+S無無不變Cin=0無無AR收讀接口→R0接口讀D0F→BR+S無R0不變Cin=0無開關(guān)→內(nèi)部總線無INPORT執(zhí)行所需的控制信號(hào)(兩步)JRCADR執(zhí)行所需的控制信號(hào)(1步)IRL+R5→R5無DA0C1R+SR5R5不變Cin=0無無無C=1時(shí)即011,F(xiàn)→B,F→YC=0時(shí)即001,F(xiàn)→Y011011001110100011010000010101010000100011000010011001110001111000000000000000000000000000011111001110100011011001010000000000000011000010111001110000010010000010101010000000000001011010000010100111001000000000000000000011010010010000010011111011000000000000000000000000001100000111100100011000DRSR0000000000000001100000000100001011000DRSR0010000000000節(jié)拍指令操作碼MRWI2~0

I8~6I5~3B口A口SSTSCISSHDC2DC10000無1000110100000101010100001000110000010關(guān)001000001000000000000000000001000……1)設(shè)計(jì)指令執(zhí)行流程表;流程表中,橫向給出33位控制信號(hào),每條指令的每個(gè)步驟分配一橫行,并用指令操作碼和節(jié)拍狀態(tài)碼指出是哪條指令的哪個(gè)節(jié)拍,在縱橫交叉位置填寫各控制信號(hào)的值。12.控制信號(hào)生成部件的設(shè)計(jì)(1)ADD取指MVRRINCALA2)按流程表寫出每一位控制信號(hào)的邏輯表達(dá)式;①用與項(xiàng)指明一位信號(hào)在流程表的一個(gè)橫行中的“1”②用或匯總該信號(hào)在各橫行中的“1”③按①和②寫出每個(gè)控制信號(hào)的邏輯表達(dá)式3)編譯得到的邏輯表達(dá)式,并寫入可編程芯片;4)對(duì)設(shè)計(jì)結(jié)果進(jìn)行調(diào)試、改錯(cuò),直到運(yùn)行正確。時(shí)序控制信號(hào)生成部件的設(shè)計(jì)(2)例如:MRW字段中的R信號(hào)(只在IN,OUT指令的第二拍中為1)已知:第二拍的編碼為0100,

IN、OUT的操作碼分別10000010、10000110故:R=!T3&T2&!T1&!T0&

IR15&!IR14&!IR13&!IR12&!IR11&IR9&!IR8#!T3&T2&!T1&!T0&

IR15&!IR14&!IR13&!IR12&IR11&IR9&!IR8;同理,可寫出所有33個(gè)控制信號(hào)的邏輯表達(dá)式。寫出控制信號(hào)邏輯表達(dá)式(ABEL)當(dāng)1的個(gè)數(shù)遠(yuǎn)多于0的個(gè)數(shù)時(shí),采用負(fù)邏輯可簡化表達(dá)式:如I2~I0字段中的I0:!I0=!T3&!T2&T1&!T0#!T3&!T2&T1&T0&!IR15&!IR14&!IR13&!IR12&!IR11&IR10&IR9&IR8#!T3&T2&T1&/T0&IR15&!IR14&!IR13&!IR12&!IR11&!IR10&!IR9&IR8

#!T3&T2&!T1&!T0&IR15&!IR14&!IR13&!IR12&(!IR11&!IR10&IR9&IR8#!IR11&IR10&!IR9&!IR8#!IR11&IR10&!IR9&IR8#!IR11&IR10&IR9&!IR8#IR11&IR10&!IR9&!IR8)#!T3&T2&!T1&T0&IR15&IR14&!IR13&!IR12&IR11&IR10&IR9&!IR8寫出控制信號(hào)邏輯表達(dá)式(ABEL)#(T==[0,1,0,1])&(CALA);!

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論