基于FGA的步進(jìn)電機(jī)正弦波細(xì)分驅(qū)動(dòng)器設(shè)計(jì)_第1頁(yè)
基于FGA的步進(jìn)電機(jī)正弦波細(xì)分驅(qū)動(dòng)器設(shè)計(jì)_第2頁(yè)
基于FGA的步進(jìn)電機(jī)正弦波細(xì)分驅(qū)動(dòng)器設(shè)計(jì)_第3頁(yè)
基于FGA的步進(jìn)電機(jī)正弦波細(xì)分驅(qū)動(dòng)器設(shè)計(jì)_第4頁(yè)
基于FGA的步進(jìn)電機(jī)正弦波細(xì)分驅(qū)動(dòng)器設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩4頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1

引言步進(jìn)電機(jī)是一種將電脈沖信號(hào)轉(zhuǎn)換成相應(yīng)的角位移(或線(xiàn)位移)的機(jī)電元件,具有結(jié)構(gòu)簡(jiǎn)單堅(jiān)固耐用工作可靠的優(yōu)點(diǎn)因此廣泛應(yīng)用于工業(yè)控制領(lǐng)域。由于脈沖的不連續(xù)性又使步進(jìn)電機(jī)運(yùn)行存在許多不足之處,如低頻振蕩、噪聲大、分辨率不高及驅(qū)動(dòng)系統(tǒng)可靠性差等,嚴(yán)重制約了其應(yīng)用范圍。步進(jìn)電機(jī)的細(xì)分控制有效地解決了這一問(wèn)題,但是傳統(tǒng)的步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)大多數(shù)采用的是用單片機(jī)作為控制芯片,外加分立的數(shù)字邏輯電路和模擬電路構(gòu)成。受單片機(jī)工作頻率的限制,細(xì)分?jǐn)?shù)不是很高,因此驅(qū)動(dòng)器的控制精度較低,控制性能不是很理想。隨著高性能數(shù)字信號(hào)處理器DSP的出現(xiàn),以DSP為控制核心,以軟件方式實(shí)現(xiàn)電機(jī)控制一度成為研究的熱點(diǎn)。近年來(lái)隨著可編程邏輯器件的飛速發(fā)展,使得可編程邏輯器件功能越來(lái)越強(qiáng)大從而促使高集成化高精度驅(qū)動(dòng)器的出現(xiàn)。因此本文提出了一種基于SOPC片上可編程的全數(shù)字化步進(jìn)電機(jī)控制系統(tǒng),本系統(tǒng)是以FPGA為核心控制器件,將驅(qū)動(dòng)邏輯功能模塊和控制器成功地集成在FPGA上實(shí)現(xiàn),充分發(fā)揮了硬件邏輯電路對(duì)數(shù)字信號(hào)高速的并行處理能力,可以使步進(jìn)電機(jī)繞組電流細(xì)分達(dá)到4096,且細(xì)分?jǐn)?shù)可以自動(dòng)調(diào)節(jié),極大地提高了控制精度和驅(qū)動(dòng)器的集成度,減小了驅(qū)動(dòng)器體積。

2

步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)原理

步進(jìn)電機(jī)的細(xì)分控制本質(zhì)上是對(duì)步進(jìn)電機(jī)勵(lì)磁繞組中的電流進(jìn)行控制,在普通驅(qū)動(dòng)方式下,驅(qū)動(dòng)電路只是通過(guò)對(duì)電動(dòng)機(jī)繞組激磁電流的“開(kāi)”和“關(guān)”,使步進(jìn)電動(dòng)機(jī)轉(zhuǎn)子以其本身的步距角分步旋轉(zhuǎn)。步進(jìn)電動(dòng)機(jī)靠定子、轉(zhuǎn)子磁極間的電磁力來(lái)進(jìn)行工作,當(dāng)它處于“雙拍”狀態(tài)工作時(shí),其定位位置是正好位于兩通電磁極的中間,即依靠?jī)赏姶艠O電磁吸引力的平衡而獲得的。由此可以推論:如果能夠進(jìn)一步仔細(xì)地控制兩磁極電磁吸引力的大小,使轉(zhuǎn)子磁極獲得更多種由于兩相定子磁極的電磁吸引力差異而形成的平衡定位位置。步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)方式就是應(yīng)用了這一原理,在細(xì)分驅(qū)動(dòng)時(shí),細(xì)分控制器通過(guò)控制各相激磁繞組電流的逐步增大及逐步減小,讓轉(zhuǎn)子處于多個(gè)磁力平衡狀態(tài)使電機(jī)內(nèi)部的合成磁場(chǎng)為均勻的圓形旋轉(zhuǎn)磁場(chǎng),實(shí)現(xiàn)步距角變小、電動(dòng)機(jī)的旋轉(zhuǎn)得到細(xì)化的目的。合成的磁場(chǎng)矢量的幅值決定了電機(jī)旋轉(zhuǎn)力矩的大小,相鄰兩個(gè)合成磁場(chǎng)矢量的夾角大小決定了該步距角的大小。對(duì)于三相步進(jìn)電機(jī)而言,向A、B、C繞組分別通以相位相差2/3π,而幅值相同的正弦波電流(圖1),則合成的電流矢量在空間做幅值恒定的旋轉(zhuǎn)運(yùn)動(dòng),其對(duì)應(yīng)的合成磁場(chǎng)矢量也作相應(yīng)的旋轉(zhuǎn)從而形成旋轉(zhuǎn)力矩(圖2)。

細(xì)分驅(qū)動(dòng)方式下,由于步距角小,步進(jìn)電機(jī)的控制精度明顯提高,同時(shí)這種驅(qū)動(dòng)方式又有效抑制低速運(yùn)行中產(chǎn)生的噪聲和振蕩現(xiàn)象。3

控制器總體設(shè)計(jì)方案

步進(jìn)電機(jī)三相繞組的電流是正弦階梯電流,通過(guò)改變給定電流的每一次變化的階梯數(shù)可以實(shí)現(xiàn)可變細(xì)分功能。驅(qū)動(dòng)器的任務(wù)就是控制繞組的電流,使之按正弦階梯波的規(guī)律變化。每給一個(gè)步進(jìn)脈沖,A、B、C三相繞組的電流沿正弦階梯波前進(jìn)一步,電機(jī)轉(zhuǎn)動(dòng)一個(gè)步距角。步進(jìn)電動(dòng)機(jī)驅(qū)動(dòng)主回路圖如圖3所示IA、IB為兩個(gè)霍爾元件。圖中6個(gè)IGBT集成在電源控制模塊IPM內(nèi)。

圖3

步進(jìn)電動(dòng)機(jī)驅(qū)動(dòng)主回路圖步進(jìn)電機(jī)控制系統(tǒng)框圖如圖4所示。采用FPGA作為主控制芯片,

將控制器與驅(qū)動(dòng)器的數(shù)字電路部分集成在一片F(xiàn)PGA上實(shí)現(xiàn)。為了控制繞組電流,在設(shè)計(jì)中引入電流跟蹤型閉環(huán)反饋,反饋電流與給定的正弦電流(離散的正弦表)經(jīng)過(guò)改進(jìn)的比例積分PI調(diào)節(jié)后進(jìn)行SPWM調(diào)制,輸出6路PWM波,來(lái)控制驅(qū)動(dòng)電路三個(gè)橋臂上的6個(gè)IGBT開(kāi)通關(guān)斷。如果忽略死區(qū)時(shí)間控制每個(gè)橋臂的上下半橋的兩路PWM波互補(bǔ)即上半橋PWM波為高/低電平時(shí),下半橋PWM波為低/高電平。系統(tǒng)采用14位寬度200MHz計(jì)數(shù)器產(chǎn)生PWM載波,載波頻率12.2KHz,電流數(shù)據(jù)全部采用14位精度進(jìn)行離散化。200MHz時(shí)鐘由50MHz時(shí)鐘經(jīng)PLL倍頻產(chǎn)生。FPGA輸出的PWM波經(jīng)功率模塊放大后,控制步進(jìn)電機(jī)運(yùn)行。步進(jìn)電機(jī)運(yùn)行狀態(tài)(轉(zhuǎn)速和轉(zhuǎn)向)通過(guò)LED指示。步進(jìn)電機(jī)轉(zhuǎn)速是由查表速度決定的,CP是用來(lái)決定查表頻率,在細(xì)分等級(jí)一定的情況下CP速度越高電機(jī)轉(zhuǎn)速越快。如果電機(jī)在高細(xì)分下高速旋轉(zhuǎn)則CP脈沖頻率就會(huì)很高,導(dǎo)致PWM脈寬過(guò)小,使功率模塊IGBT控制橋臂頻繁開(kāi)關(guān),其結(jié)果是開(kāi)關(guān)損耗大為增加,功率模塊過(guò)熱。而高細(xì)分在步進(jìn)電機(jī)高速旋轉(zhuǎn)時(shí)其優(yōu)勢(shì)并不明顯,所以在不影響電機(jī)運(yùn)行精度的情況下,系統(tǒng)根據(jù)轉(zhuǎn)速對(duì)細(xì)分精度在4096、2048、1024、512、256、128、64、32之間自動(dòng)調(diào)節(jié),使電機(jī)更加平穩(wěn)可靠的運(yùn)行。圖4控制系統(tǒng)框圖3.1

FPGA結(jié)構(gòu)設(shè)計(jì)

本系統(tǒng)是由集成在系統(tǒng)采用層次化開(kāi)發(fā)方式,頂層模塊由多個(gè)子模塊組合而成,F(xiàn)PGA設(shè)計(jì)系統(tǒng)框圖如圖5所示。系統(tǒng)由Nios

II微處理器模塊和數(shù)字邏輯模塊組成。圖5FPGA設(shè)計(jì)系統(tǒng)框圖

控制器采Nios

II的32位CPU軟核,由SOPC

Builder

生成。用來(lái)接收外界控制信號(hào)并把信號(hào)處理后送給脈沖發(fā)生器,并通過(guò)輸出端口控制LED來(lái)指示系統(tǒng)工作情況。系統(tǒng)頂層設(shè)計(jì)圖如圖6所示,系統(tǒng)由CPU軟核(stepmotor_controller、CP發(fā)生器(PatternGenerator)、正弦查表控制模塊(interface)、A/D電流采樣模塊(currenttop)、C相電流計(jì)算模塊(Current_c)調(diào)節(jié)模塊、PI調(diào)節(jié)模塊(pimodule)、PWM發(fā)生模塊(PWM)組成,各模塊功能如下:

CPU:接受外界控制信號(hào)把信號(hào)處理后得到相應(yīng)的細(xì)分等級(jí)和與速度對(duì)應(yīng)的控制信號(hào)送給CP發(fā)生器,把轉(zhuǎn)向信號(hào)處理后送到查表控制模塊,并把轉(zhuǎn)速和轉(zhuǎn)向信號(hào)送到LED數(shù)碼管和發(fā)光二極管來(lái)指示電機(jī)運(yùn)行情況;

CP發(fā)生器:接受轉(zhuǎn)速控制信號(hào)發(fā)出與轉(zhuǎn)速相對(duì)應(yīng)的CP脈沖并給出相應(yīng)轉(zhuǎn)速下對(duì)應(yīng)的最佳細(xì)分等級(jí);

正弦查表控制模塊:根據(jù)CP脈沖和細(xì)分等級(jí)進(jìn)行查表產(chǎn)生參考電流,根據(jù)轉(zhuǎn)向控制信號(hào)來(lái)決定查表方向來(lái)實(shí)現(xiàn)轉(zhuǎn)向控制;

A/D電流采樣模塊:采集步進(jìn)電機(jī)A、B兩相電流值;

C相電流計(jì)算模塊:根據(jù)基爾霍夫電流定律A、B、C三相電流之和為零,由A/D采集的A、B兩相的電流計(jì)算出C相電流;

爛P驕I況調(diào)節(jié)模塊:將浴參考正弦電流膛與反饋電流差賣(mài)值進(jìn)嬌行紀(jì)P梨I滾調(diào)節(jié),把調(diào)節(jié)指后的控制信號(hào)寄,為了防液止秩IP河M升內(nèi)上下半橋彩的戲IGB攀T額同時(shí)導(dǎo)通,導(dǎo)畢致李I(lǐng)P幅M類(lèi)短路甚,侍P走I禾模塊內(nèi)設(shè)置的次了死區(qū)時(shí)間企。嫩輸圖跑6龜系統(tǒng)頂層設(shè)欺計(jì)圖遲源養(yǎng)頂層接口說(shuō)明弊:映劑AD_in_爪a騾、召AD_in_目b供頑是紅

AD史167朋4尺轉(zhuǎn)換輸出的數(shù)膝字信號(hào)鍵;志認(rèn)

AD敬_C哭E惰、材AD_R喂D幅、銅AD_ST大S范為輝A剃D頃的控制信號(hào)型;孩基

cl解k各、材rese律t舒分別為系統(tǒng)時(shí)矮鐘輸入端口和稅系統(tǒng)復(fù)位端口段;罰娃

en械abl蘿e騾端口啊是微PW斗M撞發(fā)生器使能端則,功率模塊溫嬸度過(guò)高熱保護(hù)惹就會(huì)動(dòng)作鉗將裳enabl砌e局置模0扮停止發(fā)僚出謊PW氧M泰波,保護(hù)驅(qū)動(dòng)穩(wěn)器作;新支

se翼tspee趟t習(xí)、羨rotate僑_dir傲c姻分別為轉(zhuǎn)速和戒轉(zhuǎn)向控制端口蛋;檢立

le做d_se鮮l裹、叨speedd鄭ispla叢y狂、副led_in墊dicato笨r鋸為工作情況指動(dòng)示電路吧;范導(dǎo)

pl戚_購(gòu)a瞇、時(shí)ph_吵a晶、淚pl_愚b活、贈(zèng)ph_枯b片、屈pl_枯c坑、者ph_賭c檔為除A男、性B艦、刑C紐三相橋臂的上銹下半橋控制端旬;憶把

3.2

N酸ios

I區(qū)I懷軟核設(shè)世計(jì)潑現(xiàn)在本控制器中填利殖用鬼CP虛U??刂旗`活的功視能用來(lái)接受并盜處理外界控制姥號(hào)再將處理過(guò)授的控制信號(hào)送毯到各個(gè)功能模騾塊,因減此狐Nios

I青I稼軟核采用了經(jīng)昏濟(jì)型球即巷Nios

I贊I/睛e轉(zhuǎn),加入了用于圓輸入輸出端口敘(矛PI谷O射)、片上存儲(chǔ)緊器模塊裝(瓦On

chi新p

Memo煩r者y痰)??刂破鞒涛⑿蛄鞒虉D軟件杠程序工作流程眠如賀圖伴7誠(chéng)所示鏈。頂墳圖駛7

CP丹U陪控制流程圖窯CP敏U笑內(nèi)部程序采盞用乓C+次+們語(yǔ)言編寫(xiě),并雖對(duì)電機(jī)的控制運(yùn)狀態(tài)建立類(lèi)。寒通過(guò)對(duì)電機(jī)的往控制狀態(tài)對(duì)象價(jià)的操作,即可修輸出各種控制歌命令到接口模疲塊舟和格C遵P普脈沖發(fā)生模塊草,控制電機(jī)狀遣態(tài);同時(shí)在程奉序主循環(huán)中監(jiān)差視用戶(hù)的各種危輸入,更新電喉機(jī)的控制狀態(tài)雄對(duì)象的成員變蛙量搶。撇雖3.3

C柱P飛發(fā)生器模塊和穩(wěn)查表控制模陽(yáng)塊互銹

Patte柔rnGene更rato留r候模塊根據(jù)設(shè)置亞的電機(jī)轉(zhuǎn)速產(chǎn)練生相應(yīng)久的烈C陵P(guān)怨脈沖和在該轉(zhuǎn)儲(chǔ)速下的最適宜熄的細(xì)分等級(jí),歲并把這些信號(hào)杯傳送給查表控臺(tái)制模塊促。翅C灶P桑發(fā)生器模塊和佳查表控制模塊汪如搜圖裹8蚊所示獎(jiǎng)。淋蕉圖群8

CP釘強(qiáng)發(fā)生器模塊和給查表控制模塊婆查表控制模塊瓣(巨interf嘴ac勺e紋)內(nèi)部例化了支一個(gè)只讀存儲(chǔ)止器,存放一個(gè)歪周期的正弦數(shù)她據(jù)表。正弦表正數(shù)據(jù)寬度與系還統(tǒng)數(shù)據(jù)流數(shù)據(jù)熄寬度一致,因誓此選耀擇碗1啟4隸位寬度。正弦凍表深度決定了朋驅(qū)動(dòng)器的最大宇細(xì)分等級(jí),關(guān)炊系式表示為究:冶NMAX=2紐N皺,咸當(dāng)永N=1辟2散時(shí),達(dá)到最高顛細(xì)分等尤級(jí)軋409夜6濟(jì)細(xì)分。外部輸魄入須C辛P疾和球CC閣W涉信號(hào)后,通過(guò)載順序的給出三貍相繞組對(duì)應(yīng)的漸地址數(shù)據(jù),即步可查得三相相畝電流的給定數(shù)棚據(jù),并同時(shí)更嘴新三個(gè)給定數(shù)友據(jù)寄存器,送者入下一個(gè)環(huán)節(jié)頌。轉(zhuǎn)向交換也朵在本模塊實(shí)現(xiàn)氣。輸入末的冶CC蠟W濟(jì)信號(hào)將控制一釘個(gè)路選擇器,煩控制正弦表的翼查表方向來(lái)改屋變步進(jìn)電機(jī)轉(zhuǎn)掠向。本模塊通巨過(guò)輸入的細(xì)分湖等級(jí)信號(hào)稍和思C找P梯脈沖信號(hào)共同慰決定三相繞組慈的地址數(shù)據(jù)的笑每次增量大小勿。當(dāng)細(xì)分等級(jí)挪最高時(shí),地址陜計(jì)數(shù)器每次帳增掏1址或焦減盞1這;當(dāng)處于非最帶高細(xì)分精度時(shí)害,地址計(jì)數(shù)器夏每次遞鍋增賠2寒的姨N(yùn)鉛次冪桿,塑N鄭由當(dāng)前的細(xì)分塑等級(jí)確定掘。共概

3.4

A擴(kuò)D神采樣模塊浸與塵C艘相電流計(jì)算模談塊宋步進(jìn)電速機(jī)在A淹、惜B趁兩相電流通過(guò)頁(yè)霍爾元件進(jìn)行屈采集疫,漲A診D吼采樣模塊通過(guò)畫(huà)采集采樣電阻躬上的電壓來(lái)將嶼電史機(jī)鄙A武、搜B躺兩相電流的?;艛M量轉(zhuǎn)換為數(shù)樹(shù)字量徐,敲C疾相電流模塊由繁基爾霍夫電流貢定單律灰ia+ib+砌ic=舟0枕計(jì)算得到。研圖魄9拳為兩模塊的端勢(shì)口。最圖撇9

A腹D鹽采樣模塊補(bǔ)與想C圓相電流計(jì)算模紋塊運(yùn)

3.5

P鞏I示調(diào)節(jié)模飽塊蹈錦P影I訴調(diào)節(jié)模塊是對(duì)綱給定數(shù)據(jù)和反洗饋數(shù)據(jù)進(jìn)行離杏散幕化具P羨I連調(diào)節(jié)。模塊的設(shè)數(shù)據(jù)寬度統(tǒng)一張為叉1碧4昂位,即給定數(shù)叛據(jù)兆/內(nèi)反饋數(shù)遺據(jù)糧/殲控制數(shù)據(jù)都薦用谷1銀4慧位寬度無(wú)符號(hào)獨(dú)整型數(shù)據(jù)表示仇。本模塊實(shí)現(xiàn)撫一哥個(gè)天P多I葵控制器功能,別如杜圖簡(jiǎn)1次0芒所示。店圖悉1含0性腎

P牲I亮控制邏輯框圖役

P促I(mǎi)梁調(diào)節(jié)離散化公奇式如競(jìng)(亦4爹)式所示棚。循平蝦I搭(室K妙)群=在I梅(含K-擠1潛)詠+K鐵P炭*緊(弓E難(束K禾)德-喘E溜(徒K-拼1炎)銜)捕+K奉I(lǐng)宜*漿E雕(鵝K虎)極尋歉哥櫻擾衡禽(哨4仆)四產(chǎn)得釣其中濁,裕150覆0拿≤崖I構(gòu)(捉K飯)膨≤微1438蓬4喘,至I面(御0令)藝

=

819散2其,維E鐮(銹K及)仍=IREF-選IOUT

馳杯模塊在收到啟炒動(dòng)信號(hào)后,先策寄存給定數(shù)請(qǐng)據(jù)億/岡反饋數(shù)據(jù),再贈(zèng)對(duì)二者擴(kuò)寬符桌號(hào)位做有符號(hào)扛減法,并啟找動(dòng)心K朱I纏乘法器計(jì)雹算挑K歪I與乘以誤鑰差監(jiān)e付的結(jié)果。對(duì)減烘法的計(jì)算結(jié)果疊取絕對(duì)值,并童與閥值作比較否(常數(shù)比較器晝),比較的結(jié)夢(mèng)果存入寄存器彩。計(jì)斤算虧e世的誤差增量,擔(dān)即原E退(稀K漏)選-責(zé)E坦(軌K-杠1鉛)遼嗎,并什用爹K飯P眾乘法器計(jì)鳳算碑K販P畢乘以誤差增量訪(fǎng)的結(jié)果。返將擇K子I卵乘法器計(jì)算的韻結(jié)果先送入累芝加器,然后再壁將因K余P桂乘法器計(jì)算的累結(jié)果送入累加含器;模塊的累針加器在每次累掀加的時(shí)候會(huì)進(jìn)始行溢出處理和業(yè)數(shù)據(jù)超出邊界裳處理,無(wú)論哪綱種情況都會(huì)置裝為邊界值(最蟲(chóng)大掩/樓最小值)誠(chéng)。諸幟3.6

PW術(shù)M跨發(fā)生器模娘塊朝味PW稻M非發(fā)生器模塊典將鄭P藥I壓調(diào)節(jié)后輸出的嗓數(shù)據(jù)轉(zhuǎn)化三為諒PW姓M織波形輸出憲給躬IGB處T旗模塊,通秧過(guò)蜘IGB綱T趙的通斷切換調(diào)捉整相電壓,進(jìn)孤而改變相電流孤按照給定進(jìn)行傻變化掠。蘿PW古M法波形的生成是橫通過(guò)將給定控穴制數(shù)據(jù)和載波染數(shù)據(jù)比較,通廟過(guò)比較二者大譽(yù)小情況確節(jié)定乏PW擺M玩的高低電平,酒實(shí)漿現(xiàn)疫PW耐M時(shí)調(diào)制,如找圖服1彩1畏所示坡。釋墨圖考1喜1邁貪PW際M近模塊總體框圖膀鋸齒波作判為愁PW羅M悠載波,驅(qū)動(dòng)時(shí)貸鐘頻率局為耐200MH轉(zhuǎn)z列,掏1欠4諸位寄存器寬度卵。為減少計(jì)數(shù)房器的進(jìn)位鏈長(zhǎng)湖度,采用計(jì)數(shù)商器,拆分饅為紅3薯位計(jì)數(shù)器抽和黃1圍1訊位計(jì)數(shù)器,減進(jìn)少了組合邏輯擾延遲。死區(qū)保啦護(hù)發(fā)生器模塊辱根據(jù)給定的死站區(qū)長(zhǎng)度數(shù)據(jù),殿將輸入睛的畢PW策M(jìn)謠信號(hào)輸出椒為籮P邪H勁和本P殃L恒信號(hào),分別對(duì)招應(yīng)懷IGB筆T條的上下橋臂,丙并穴在碎P潛H嘗和煉P孟L敢數(shù)據(jù)的變沿插彼入死區(qū)保護(hù)(毛同時(shí)置為低電銅平)。插入的運(yùn)死區(qū)保護(hù)是通無(wú)過(guò)上升計(jì)數(shù)器剝和下降計(jì)數(shù)器雁來(lái)實(shí)現(xiàn)的,保僻證上橋臂和下慨橋臂不同時(shí)導(dǎo)悲通癥。寄笑4

頑盡仿真結(jié)果炎對(duì)地PW續(xù)M篇模塊進(jìn)行功能卻仿真,仿真波粥形如復(fù)圖遠(yuǎn)1沉2冰所示。經(jīng)分析柔,六廊路柄PW綠M交波滿(mǎn)足時(shí)序和勉死區(qū)保護(hù)的要勉求,實(shí)現(xiàn)了設(shè)勁計(jì)所要達(dá)到的捎目。將畢圖住1匪2口進(jìn)行放大可晃見(jiàn)和IGB自T賴(lài)死區(qū)如廉圖任1征3尊所示徒。姻毛圖工15加依步進(jìn)電機(jī)反轉(zhuǎn)配時(shí)電流波形舒伐實(shí)驗(yàn)結(jié)果表明鑄步進(jìn)電機(jī)運(yùn)行己時(shí)三相電流相詢(xún)位期差壺12敞0挨度,三相電流楊為正弦波,電廊機(jī)運(yùn)行平穩(wěn),柏電機(jī)反轉(zhuǎn)時(shí)進(jìn)情行反相查表,提轉(zhuǎn)換方向時(shí)反親應(yīng)時(shí)間短,電

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論