![大算力時代的先進封裝投資機遇_第1頁](http://file4.renrendoc.com/view/54747586f918b7a01ea44779b4099d97/54747586f918b7a01ea44779b4099d971.gif)
![大算力時代的先進封裝投資機遇_第2頁](http://file4.renrendoc.com/view/54747586f918b7a01ea44779b4099d97/54747586f918b7a01ea44779b4099d972.gif)
![大算力時代的先進封裝投資機遇_第3頁](http://file4.renrendoc.com/view/54747586f918b7a01ea44779b4099d97/54747586f918b7a01ea44779b4099d973.gif)
![大算力時代的先進封裝投資機遇_第4頁](http://file4.renrendoc.com/view/54747586f918b7a01ea44779b4099d97/54747586f918b7a01ea44779b4099d974.gif)
![大算力時代的先進封裝投資機遇_第5頁](http://file4.renrendoc.com/view/54747586f918b7a01ea44779b4099d97/54747586f918b7a01ea44779b4099d975.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
核心觀點大算力應(yīng)用如高性能服務(wù)器(HPC)和自動駕駛(ADAS)取代手機/PC成為新一輪半導(dǎo)體周期驅(qū)動力,后摩爾定律時代高端封裝工藝迭代成為新的發(fā)展趨勢。以Chiplet為代表的2.5D/3D封裝形式成為大芯片標(biāo)配,TSV/RDL/Fan-out等高端封裝技術(shù)帶來封裝環(huán)節(jié)價值占比提升。全球晶圓代工龍頭臺積電打造全球2.5D/3D先進封裝工藝標(biāo)桿,未來幾年封裝市場增長主要受益于先進封裝的擴大。先進封裝市場的快速增長,有望成為國內(nèi)晶圓代工廠商(中芯國際)與封測廠商(長電科技、通富微電和深科技)的新一輪成長驅(qū)動力。報告核心觀點摘要1、應(yīng)用:大算力應(yīng)用如高性能服務(wù)器(HPC)和自動駕駛(ADAS)取代手機/PC成為新一輪半導(dǎo)體周期驅(qū)動力,后摩爾定律時代高端封裝工藝迭代成為新的發(fā)展趨勢。以臺積電下游應(yīng)用來看,HPC的收入增速從2020年Q3超過手機后保持持續(xù)領(lǐng)先,對應(yīng)的營收占比在在2022年Q1首次超過手機成為臺積電下游第一大應(yīng)用,相比之下封測廠商在高價值量的運算類電子占比僅為16%。我們認(rèn)為隨著大算力需求提升,先進封裝替代先進制程成為降低單位算力成本的最佳方案,進而拉高運算電子在封測廠商的價值量。2、工藝:以Chiplet為代表的2.5D/3D封裝形式成為大芯片標(biāo)配,TSV/RDL/Fan-out等高端封裝技術(shù)帶來封裝環(huán)節(jié)價值占比提升。半導(dǎo)體價值量的增長下游從手機/PC向高算力的HPC和ADAS轉(zhuǎn)移,封裝工藝開始向Chiplet為代表的2.5D/3D封裝轉(zhuǎn)移,從封裝工藝流程來看,晶圓代工廠基于制造環(huán)節(jié)的的優(yōu)勢擴展至TSV工藝,封測廠參與較多的是RDL和Fan-out等封裝工藝,隨著高算力芯片整體封測市場擴容,封測廠商逐步擴大2.5D和3D封測布局。3、市場:全球晶圓代工龍頭臺積電打造全球2.5D/3D先進封裝工藝標(biāo)桿,未來幾年封裝市場增長主要受益于先進封裝的擴大。目前先進封裝營收規(guī)模最大是晶圓代工龍頭臺積電,預(yù)計2022年先進封裝貢獻了53億美元,全球封測龍頭日月光和安靠都推出了3D封測工藝平臺,積極搶占先進封裝的份額。預(yù)計2027年先進封裝市場規(guī)模增至651億美元,2021-2027年CAGR達到9.6%,先進封裝成為大算力時代封裝廠商新的增長動能。4、建議關(guān)注標(biāo)的:中芯國際(國內(nèi)邏輯芯片代工龍頭,Q2稼動率見底,行業(yè)周期反轉(zhuǎn)在即)長電科技(2H22推出XDFOI為代表的2.5D/3D封裝工藝平臺)通富微電(綁定AMD推出GPU/CPU/ASIC芯片chiplet解決方案)深科技(存儲封測龍頭,能夠?qū)崿F(xiàn)8層和16層存儲芯片堆疊工藝)目錄 一、應(yīng)用:手機封裝工藝->汽車封裝工藝->HPC二、工藝:先進封裝與2.5D/3D封裝關(guān)鍵工藝三、空間:行業(yè)規(guī)模與龍頭四、供給:國內(nèi)晶圓廠與封裝廠2022年海外與中國大陸的邏輯類IC封測廠商實現(xiàn)雙位數(shù)增長2022年全球海外前十大封測廠商營收合計達到277億美元,yoy+9%,邏輯IC封測和測試廠商成長明顯,DDIC類封測公司受到行業(yè)周期下滑較多。2022
年中國大陸前十大封測廠商營收合計達到765億元人民幣,yoy+14%,邏輯IC封測和專業(yè)測試廠商實現(xiàn)大幅增長。圖表:海內(nèi)外封測大廠營業(yè)收入資料來源:各公司公告,中信建投,注:深科技、晶方科技、頎中科技2022年營收是年化所得,其余來自公司公告封測類型國際大廠2022年yoy%封測類型國內(nèi)龍頭2022年yoy%邏輯類日月光13,06612%邏輯IC長電科技33,76211%邏輯類安靠7,09216%
邏輯IC通富微電21,42936%存儲類力成3,0000%
邏輯IC華天科技11,906-2%CIS精材科技2761%
邏輯IC甬夕電子2,1846%DDIC頎邦科技859-12%存儲IC深科技3,0586%DDIC南茂科技844-14%CIS封測晶方科技1,145-19%測試類京元電子1,3179%
DDIC頎中科技1,3724%測試類欣銓51822%
DDIC匯成股份94018%測試類夕格72221%測試類偉測科技73349%76,52914%營業(yè)收入
單位:US$
M證券代碼
2021年3711.TW 11,713AMKR.O 6,1386239.TW 2,9953374.TWO 2746147.TWO 9738150.TW 9842449.TW 1,2113264.TWO 4266257.TW 596營業(yè)收入
單位:RMB¥
M證券代碼
2021年600584.SH 30,502002156.SZ 15,812002185.SZ 12,097688362.SH 2,055000021.SZ 2,885603005.SH 1,411A22097.SH 1,320688403.SH 796688372.SH 49367,372合計
25,309 27,694 9%
合計2022年Q1開始HPC超越手機成為半導(dǎo)體第一大需求驅(qū)動力2020年第三季度臺積電HPC的收入增速首次超過手機應(yīng)用后持續(xù)保持領(lǐng)先,營收占比也在2022年第一季度超過智能手機,成為邏輯芯片領(lǐng)域最重要的成長驅(qū)動力。2020年第三季度開始,汽車電子領(lǐng)域增速持續(xù)走高,在臺積電所有下游應(yīng)用領(lǐng)域中保持最高的成長速度。資料來源:臺積電,中信建投150%130%110%90%70%50%30%10%-10%-30%-50%手機汽車HPC 物聯(lián)網(wǎng)消費電子 其它25%30%35%40%45%50%55%圖表:2018-2020年臺積電手機與HPC收入占比手機 高性能計算(HPC)資料來源:臺積電,中信建投圖表:2019-2020年臺積電各應(yīng)用領(lǐng)域營收增速HPC封裝價值并未流向OSAT廠商,主要由晶圓代工廠承接53% 52% 53% 52% 52% 53% 53% 53%15%15% 16% 16%16% 16% 16%33% 33% 32% 32% 32%31% 31% 31%100%90%80%70%60%
14%50%40%30%20%10%0%21Q121Q221Q321Q422Q122Q222Q322Q4全球封測廠商排名前兩位的是日月光投控與安靠,按照下游應(yīng)用占比來看,手機依然是封測領(lǐng)域占比最高的,營收占比高達40-50%,與晶圓代工龍頭臺積電的晶圓代工應(yīng)用占比匹配。計算領(lǐng)域日月光與安靠的營收占比均為16%,明顯低于HPC領(lǐng)域晶圓代工環(huán)節(jié)超過40%的營收占比,可見HPC領(lǐng)域的封測并未由OSAT廠商承接,而是留在臺積電等聚焦先進制程代工的晶圓廠體內(nèi)。圖表:日月光投控(包含夕品)封測下游應(yīng)用占比% 圖表:2021年安靠封測下游應(yīng)用占比%通訊 電腦 汽車、消費電子及其他資料來源:日月光,中信建投資料來源:安靠,中信建投ChatGPT引領(lǐng)算力新時代,HPC封裝成OSAT廠商必爭之地2019年開啟的5G手機浪潮推動了射頻(RF)封裝工藝向SiP和AiP/AOP領(lǐng)域發(fā)展,攝像頭升級也拉動了CIS的封裝需求爆發(fā)。隨著5G手機滲透率逐步飽和,服務(wù)器(HPC)需求成為半導(dǎo)體行業(yè)新的驅(qū)動力,不同于智能手機追求輕薄和微縮的追求,服務(wù)器芯片更注重算力提升,以Chiplet為代表的2.5D和3D封裝工藝成為封裝需求的重要引擎。圖表:智能手機核心元件的封裝工藝圖表:AMD小芯片(Chiplet)設(shè)計與先進封裝資料來源:安靠,中信建投AMD,中信建投汽車芯片封裝趨勢:Fan-out、SiP等先進封裝需求增長在汽車領(lǐng)域,先進的駕駛員輔助系統(tǒng)(ADAS)、電氣化和虛擬駕駛艙等智能化升級,對于先進封裝的需求快速增加,尤其是ADAS對于大算力芯片使用量大幅提升,帶動2.5D/3D封裝需求。汽車電子是SiP封裝的重要應(yīng)用場景,SIP封裝為汽車客戶提供一個平臺,將微處理器和其他功能模塊如SerDes、PMICs、存儲、MEMS傳感器等整合在一起成為完整的控制系統(tǒng),在ECU、ABS、方向盤控制系統(tǒng)、座艙娛樂系統(tǒng)等各個單元得到采用。扇出形封裝(Fan-out)已經(jīng)在汽車毫米波雷達中得到了應(yīng)用,在77GHz毫米波雷達上的性能已被證明優(yōu)于FCBGA等其他封裝形式,因為RDL能夠?qū)崿F(xiàn)低損耗布線和卓越的RF性能。圖表:汽車電子常用封裝方案資料來源:安靠,中信建投圖表:Molded
Cavity和Multi-sensor
集成光學(xué)傳感器封裝《Chip
Scale
Review》,中信建投一、應(yīng)用:手機封裝工藝->汽車封裝工藝->HPC二、工藝:先進封裝與2.5D/3D封裝關(guān)鍵工藝目錄 三、空間:行業(yè)規(guī)模與龍頭四、供給:國內(nèi)晶圓廠與封裝廠AI應(yīng)用對性能的極致追求與先進封裝技術(shù)的發(fā)展相輔相成除了AI芯片架構(gòu)本身的進展外,更高性能的芯片往往離不開先進封裝。在AI運算中,神經(jīng)網(wǎng)絡(luò)參數(shù)(權(quán)重、偏差、超參數(shù)和其他)需要存儲在內(nèi)存中,常規(guī)存儲器與處理器之間的數(shù)據(jù)搬運速度慢,成為運算速度提升的瓶頸,且將數(shù)據(jù)搬運的功耗高。目前AI芯片通過NMP(近內(nèi)存處理器)和PIM(存內(nèi)處理)的架構(gòu)設(shè)計降低上述限制。例如NMP包括2.5D封裝邏輯芯片與HBM,3D封裝邏輯芯片與堆疊的DRAM(HMC)。異構(gòu)集成突破先進制程的限制,降低單位算力成本:在上述方案中,
DRAM、邏輯和其他模塊來自不同的工藝節(jié)點,并使用先進封裝技術(shù)進行連接,形成異構(gòu)集成。隨著人工智能架構(gòu)變得越來越普遍,未來AI芯片還將集成來自其他領(lǐng)域(如模擬、射頻和光子學(xué))的模塊,以及不同的工藝節(jié)點,滿足更多的應(yīng)用需求。圖表:AI封裝架構(gòu)圖表:AI計算“內(nèi)存墻”NMP:邏輯芯片與HBM
2.5D封裝NMP:邏輯芯片與HBC
3D封裝多芯片PIM加速器邏輯、存儲未來與其他領(lǐng)域模塊形成的異構(gòu)集成芯片資料來源:知存科技,中信建投《Chip
Scale
Review》,中信建投Chiplet成為后摩爾時代發(fā)展趨勢后摩爾時代Chiplet封裝為芯片制造提供了性能與成本平衡的最佳方案。隨著半導(dǎo)體工藝尺寸進一步縮小,集成電路制造面臨的挑戰(zhàn)日益增大,摩爾定律日趨放緩,單位晶體管的成本不降反升,應(yīng)用先進制程的芯片研發(fā)費用大幅增長。Chiplet即“小芯片”,是指預(yù)先制造好、具有特定功能、可組合集成的晶片(Die)。Chiplet技術(shù)背景下,可以將大型單片芯片劃分為多個相同或者不同的小芯片,這些小芯片可以使用相同或者不同的工藝節(jié)點制造,再通過跨芯片互聯(lián)和封裝技術(shù)進行封裝級別集成,以在功率、性能和成本方面找到優(yōu)化的平衡。圖表:將大芯片轉(zhuǎn)換為Chiplets進行異構(gòu)集成圖表:先進制程芯片的研發(fā)費用大幅上升28.537.751.370.3106.3174.4297.8542.2600500400300200100065nm40nm28nm22nm16nm
10nm7nm 5nm研發(fā)費用(百萬美元)圖表:每百萬門晶體管的成本在28nm后開始上升《Chip
Scale
Review》,中信建投IBS,中信建投IBS,中信建投Chiplet為芯片制造提供了性能與成本平衡的新方案AMD,中信建投Wikichip,中信建投Chiplet封裝采用“小芯片”異構(gòu)集成,大幅提升制造良率。理論上,如果Die尺寸足夠小,Wafer的利用率可達100%。如果考慮缺陷,隨著Die的減小,良率將得到提升。因此近幾年全球晶圓制造廠商積極發(fā)展先進封裝工藝,并且增加2.5D和3D封裝的資本開支,在后摩爾定律時代布局Chiplet成為半導(dǎo)體行業(yè)發(fā)展的必然趨勢。AMD以實現(xiàn)性能、功耗和成本的平衡為目標(biāo),推行Chiplet設(shè)計,并提出performance/W和performance/$衡量標(biāo)準(zhǔn)。Chiplet具有成本效應(yīng),但其造價隨著核數(shù)的下降而變緩,因此可能有一個價格的均衡點來判斷是否采用Chiplet技術(shù)。AMD采用“7nm+14nm”的芯片組方案相較于采用7nm制造同樣多核的芯片成本下降了50%左右。圖表:Chiplet有利于提升良率 圖表:用Chiplet技術(shù)的7nm+14nm的造價vs
7nm2D-3D的先進封裝依據(jù)物理結(jié)構(gòu)和電氣連接特征區(qū)分如果說chiplet是一種芯片設(shè)計方法,異構(gòu)集成即是一種芯片封裝方法。為使異構(gòu)集成的Chiplet封裝實現(xiàn),需要借助到2D/2.1D/2.3D/2.5D/3D等一系列先進封裝工藝。先進封裝的不同層次主要依據(jù)多顆芯片堆疊的物理結(jié)構(gòu)和電氣連接方式劃分,例如2D封裝中的芯片直接連接到基板,其他封裝則以不同形式的中介層完成互聯(lián)。圖表:先進封裝的層次 圖表:先進封裝依據(jù)互連密度和性能排名《Recent
Advances
andTrends
in
Advanced
Packaging》,中信建投《Recent
Advancesand
Trends
in
Advanced
Packaging》,中信建投2D-2.5D在XY平面上封裝多顆芯片,中介層是主要差異點SiP與先進封裝,臺積電,中信建投2D:2D封裝是指在基板的表面水平安裝所有芯片和無源器件的集成方式,芯片之間的連接主要通過基板實現(xiàn),少數(shù)通過鍵合線直接連接。2D典型案例包括TSMC的InFO封裝技術(shù)。2.5D:2.5D封裝特指采用了中介層(interposer)的集成方式,中介層多采用硅材料。芯片通常通過MicroBump和中介層相連接,作為中介層的硅基板采用Bump與基板相連,硅基板上下表面的上下表面的電氣連接通道通常由RDL與貫穿硅基板的TSV構(gòu)建。2.5D典型案例包括TSMC的CoWoS-S技術(shù)。2.1D/2.3D:介于2D和2.5D硅轉(zhuǎn)接板之間,
2.1D/2.3D之間的間隙較為模糊,主要特點是采用薄膜、有機中介層、高密度RDL或嵌入基板的硅橋替代中介層進行互連,典型的案例包括Intel的EMIB封裝技術(shù)。由于不采用硅中介層有利于降低成本,2.1D/2.3D在一些應(yīng)用中被視作2.5D封裝的一種替代選擇。圖表:2D與2.5D封裝(下)結(jié)構(gòu)示意圖 圖表:2.1D
2.3D
2.5D封裝結(jié)構(gòu)對比《Recent
Advances
andTrends
inAdvancedPackaging》
,中信建投3D封裝在Z軸上延伸物理結(jié)構(gòu),TSV可實現(xiàn)高密度電氣互連3D
with
TSV:目前3D封裝通常特指芯片通過TSV直接進行高密度互連,典型的案例即HBM。3D
without
TSV:雖然多顆芯片在物理結(jié)構(gòu)上呈現(xiàn)3D堆疊形態(tài),但其電氣互連上均需要通過基板(除極少數(shù)通過鍵合線直接連接的鍵合點),即先通過鍵合線/凸點連接到基板,然后在基板上進行電氣互連,而非TSV。在某些場景下,此類集成也被歸類為2D+集成以與3D
TSV進行區(qū)分,典型案例即TSMC的InFO_PoP。圖表:3D
with
TSV案例圖表:A10處理器采用的InFO_POP封裝即3D
without
TSV圖表:3D
without
TSV案例《Recent
Advancesand
Trends
in
Advanced
Packaging》,中信建投完成前道工序的硅晶圓中TSV制造工藝對應(yīng)用3D
IC封裝技術(shù)的有源器件晶片,主要使用via-middle工藝制造TSV。由于fab擁有相關(guān)設(shè)備,具備相關(guān)專業(yè)知識技能,有源器件的TSV應(yīng)由fab廠制造,并且制造TSV的成本不到制造(≤32nm)器件晶片的成本的5%。圖表:TSV
Via-Middle工藝制造存儲器的關(guān)鍵步驟和所有權(quán)TSV
Via-Middle工藝:在FEOL(用于對器件進行圖案化)和MOL(用于形成金屬接觸)之后,通過五個關(guān)鍵步驟制造TSVs:蝕刻形成通孔—>通過等離子體增強化學(xué)氣相沉積(PECVD)電介質(zhì)—>通過物理氣相沉積(PVD)阻擋層和種子層
—>使用電鍍銅填充和化學(xué)機械拋光(CMP)去除覆蓋的銅金屬層的堆積—>最后是鈍化/開口(BEOL)。所有這些步驟都應(yīng)在FAB中完成。MEOL步驟:通過凸點下金屬化(UBM)以及使用C4(普通晶圓凸點)焊接到整個晶片—>用粘合劑將TSV晶片臨時粘合到載體晶片上—>再將TSV晶片反向研磨至銅填充TSV頂部幾微米—>進行硅干法蝕刻,直到銅填充TSV頂部以下幾微米—>在整個晶片上進行低溫隔離SiN
/SiO2沉積—>使用CMP去除SiN
/
SiO2和Cu以及Cu填充TSV(Cu顯露)的晶種層—>在銅填充TSV的頂部制備UBM。上述所有步驟應(yīng)由OSAT完成。資料來源:半導(dǎo)體百科,中信建投硅中介層上TSV的制造工藝TSV與RDL步驟:在一塊硅襯底(無有源器件)上沉積鈍化層—>制作TSV
—>構(gòu)建RDL并進行鈍化/開口資料來源:半導(dǎo)體百科,中信建投對于無源轉(zhuǎn)接板(例如2.5D封裝中的硅轉(zhuǎn)接板),TSV和RDL既可以由fab制造也可由OSAT制造,取決于布局,設(shè)計和制造能力,尤其是RDL的線寬和間距。通常,OSAT可以完成幾微米的線寬和間距。圖表:無源轉(zhuǎn)接板上TSV制備的關(guān)鍵步驟和所有權(quán)MEOL步驟:在UBM之后,將TSV晶片臨時粘合到載體1—>進行背面研磨—>硅蝕刻,低溫鈍化和銅暴露—>完成UBM
—>
C4工藝以及與載體2的臨時粘合—>不帶TSV的器件晶圓分別用微焊料凸點或帶有焊帽的Cu柱對存儲器晶片進行微凸點處理—>將器件晶片切成有微凸點/Cu柱的單個芯片。封裝與測試步驟:剝離載體#1
—>進行C2W鍵合(器件芯片與TSV晶片的鍵合)
—>剝離載體#2并且TSV晶片被切割成單獨的TSV模塊—>TSV模塊可以組裝在封裝基板上進行測試。臺積電先進封裝技術(shù)平臺——3D
Fabric臺積電已將其先進封裝技術(shù)整合為一個品牌——3D
Fabric,其中包含三大技術(shù)平臺CoWoS(Chip
on
Wafer
on
Substrate),2.5D晶圓級封裝技術(shù)。InFO(Intergrated
Fan-Out),采用RDL(重新布線層)代替硅中介層,無需TSV。SoIC(System
on
Integrated
Chips),前端封裝技術(shù),具有高密度垂直堆疊性能。圖表:臺積電先進封裝技術(shù)平臺圖表:臺積電不同封裝技術(shù)的封裝密度和鍵合間距資料來源:臺積電,中信建投資料來源:臺積電,中信建投CoWoS:適用于HPC與AI計算領(lǐng)域的2.5D封裝技術(shù)圖表:臺積電CoWoS技術(shù)平臺資料來源:臺積電,中信建投CoWoS-SCoWoS-RCoWoS-LCoWoS為HPC和AI計算領(lǐng)域廣泛使用的2.5D封裝技術(shù)。臺積電早在2011年推出CoWoS技術(shù),并在2012年首先應(yīng)用于Xilinx的FPGA上。此后,華為海思、英偉達、谷歌等廠商的芯片均采用了CoWoS,例如GP100(P100顯卡核心),TPU
2.0。如今CoWoS已成為HPC和AI計算領(lǐng)域廣泛應(yīng)用的2.5D封裝技術(shù),絕大多數(shù)使用HBM的高性能芯片,包括大部分創(chuàng)企的AI訓(xùn)練芯片都應(yīng)用了CoWoS技術(shù)。CoWoS可以分為為CoWoS-S、CoWoS-R和CoWoS-L三種。CoWoS-S基于硅中介層為先進SoC和HBM提供系統(tǒng)集成;CoWoS-R更強調(diào)小芯片間的互連,利用RDL實現(xiàn)最小4μm的布線;CoWoS-L則是最新的CoWoS技術(shù),結(jié)合了CoWoS-S和InFO兩種技術(shù)的優(yōu)點,使用RDL與LSI(本地硅互連)進行互連,具有最靈活的集成性。InFO:適用于移動設(shè)備、HPC的封裝技術(shù)資料來源:臺積電,中信建投資料來源:臺積電,中信建投InFO平臺提供針對特定應(yīng)用優(yōu)化的各種
2D
和
3D
封裝方案。InFO技術(shù)首度使用于iPhone
7中的A10,相比于三星的PoP封裝技術(shù),在封裝厚度上有明顯改善,InFO封裝也成為了臺積電獨占蘋果A系列處理器訂單的關(guān)鍵技術(shù)之一。InFO用于各種應(yīng)用的高密度互連和性能,例如移動設(shè)備、HPC等。InFO主要分為InFO_PoP(層疊封裝)和InFO_oS(基板上的InFO封裝)。InFO_PoP是行業(yè)中首款3D晶圓級扇出封裝,專注于DRAM與邏輯芯片的集成,頂部DRAM
裸片上的凸塊利用直通互聯(lián)通孔
(TIV)到達再分布層(RDL),主要應(yīng)用于移動平臺;InFO_oS(基板上)可封裝多個裸片,高密度的RDL及其微突塊通過TSV連接到基板,專注于HPC客戶。圖表:InFo_PoP拓?fù)鋱D 圖表:InFo_oS拓?fù)鋱DInFO:新型拓?fù)浞桨赣型纳菩阅懿U大應(yīng)用場景圖表:InFo_PoP向InFO_B的轉(zhuǎn)變圖表:HPC應(yīng)用的InFO_oS資料來源:臺積電,中信建投資料來源:臺積電,中信建投InFO_B:InFO_PoP
的DRAM
封裝是定制設(shè)計,只能在
TSMC
制造。另一種
InFO_B
拓?fù)湔陂_發(fā)中,以使(LPDDR)
DRAM
封裝能夠由外部合同制造商/OSAT提供。InFo_L:集成LSI的InFO變體,LSI用于兩個芯片之間的通信,達到極致互連帶寬和成本的折中。InFo_oS封裝體系下的新配置:一種潛在的InFO_oS配置,邏輯芯片被I/O
SerDes小芯片包圍,以支持高速/高基數(shù)網(wǎng)絡(luò)交換機。圖表:InFO_oS對比InFo_LSI資料來源:臺積電,中信建投SoIC:用于3D異構(gòu)集成的技術(shù)平臺資料來源:臺積電,中信建投資料來源:臺積電,中信建投SoIC技術(shù)將同構(gòu)和異構(gòu)小芯片集成到單個類似
SoC
的芯片中,該芯片具有更小的占用空間和更薄的外形,可以整體集成到CoWoS和InFO中。從外觀上看,新集成的芯片就像一個普通的SoC芯片,但嵌入了所需的異構(gòu)集成功能。SoIC主要分為SoIC_CoW(Chip
on
Wafer)和SoIC_WoW(Wafer
onWafer)。SoIC_CoW技術(shù)將不同尺寸、功能、節(jié)點的晶粒進行異質(zhì)整合。SoIC_WoW技術(shù)通過晶圓堆疊工藝實現(xiàn)異構(gòu)和同質(zhì)3D硅集成。緊密的鍵合間距和薄的
TSV
可實現(xiàn)最小的寄生以實現(xiàn)更好的性能、更低的功耗和延遲以及更小的外形尺寸。WoW
適用于高良率節(jié)點和相同裸片尺寸的應(yīng)用或設(shè)計,甚至支持與第
3
方晶圓的集成。圖表:SoIC與InFO_PoP、CoWoS聯(lián)合應(yīng)用 圖表:SoIC-WoW生產(chǎn)流程SoIC:相比基于微凸點的3D封裝有諸多優(yōu)勢基于微凸塊的3D封裝借助微凸點連接芯片,在連接密度、性能等方面受限。傳統(tǒng)3D封裝在后端工藝中借助微凸點(Pump)連接堆疊的芯片,但微凸點的尺寸很難縮小到10μm以下,限制了堆疊芯片的I/O針腳計數(shù)。此外,按比例排列的微凸點增加了寄生電容、電阻和電感,降低了其性能和功率。臺積電SoIC
3D封裝技術(shù)使芯片連接緊密,并在互聯(lián)帶寬和散熱上表現(xiàn)優(yōu)異。臺積電SoIC的鍵合技術(shù)在前端工藝完成,接合間距更小,使芯片更緊密地連接在一起,提供超過10K/mm2的垂直互連密度,用于超高帶寬互連。在熱性能方面,臺積電SoIC鍵合的熱阻比微凸點下降低35%。資料來源:臺積電,中信建投圖表:SoIC與基于微凸點的3D封裝對比圖表:SoIC具有更優(yōu)異的熱性能表現(xiàn)HBM
3D堆疊提升內(nèi)存性能,GPGPU上應(yīng)用廣泛隨著數(shù)據(jù)的爆炸勢增長,內(nèi)存墻對于計算速度的影響愈發(fā)顯現(xiàn)。為了減小內(nèi)存墻的影響,提升內(nèi)存帶寬一直是存儲芯片聚焦的關(guān)鍵問題。如同閃存從2DNAND向3DNAND發(fā)展一樣,DRAM也正在從2D向3D技術(shù)發(fā)展,HBM為主要代表產(chǎn)品。與傳統(tǒng)DRAM不同,HBM是3D結(jié)構(gòu),它使用TSV技術(shù)將數(shù)個DRAM裸片堆疊起來,形成立方體結(jié)構(gòu),與傳統(tǒng)內(nèi)存相比,HBM的存儲密度更大、功耗更低、帶寬更高。目前HBM多用于與數(shù)據(jù)中心GPGPU配合工作,可以取代傳統(tǒng)的GDDR。圖表:HBM結(jié)構(gòu)示意圖圖表:HBM與DDR對比AMD,中信建投SK海力士,中信建投DDR4LPDDR4(X)GDDR6HBM2HBM2E(JEDEC)HBM3(TBD)Data
rate3200Mbps3200Mbps(upto4266Mbps)14Gbps(upto16Gbps)2.4Gbps2.8Gbps>3.2GbpsPin
countx4/x8/x16x16/ch(2chper
die)x16/x32x1024x1024x1024Bandwidth5.4GB/s12.8(17)GB/s56GB/s307GB/s358GB/s>500GB/sDensity(perpackage)4GB/8GB8GB/16GB/24GB/32GB8GB/16GB4GB/8GB8GB/16GB8GB/16GB/24GB(TBD)AI服務(wù)器對GPGPU需求旺盛,拉動HBM顯存需求激增GPGPU為AI服務(wù)器主流協(xié)處理器選擇,平均搭載量大。IDC統(tǒng)計數(shù)據(jù)表明,中國x86服務(wù)器以雙路服務(wù)器為主,占比在80%以上。根據(jù)Omdia預(yù)測,到2026年約30%的服務(wù)器將搭載協(xié)處理器,而GPGPU為協(xié)處理器的首選,份額在10%左右。與單臺服務(wù)器主要搭載兩顆CPU的情況不同,通常一臺加速服務(wù)器搭載4顆及以上的GPGPU。IDC數(shù)據(jù)顯示,2019年中國AI加速服務(wù)器單機GPGPU搭載量最多達到20顆,加權(quán)平均數(shù)約為8顆/臺。單顆GPU配套的HBM顯存存儲容量達到80GB,對應(yīng)價值量約為800美元。配置GPGPU數(shù)量出貨量20755162152910765819269639057524178733127421670813總計79318加權(quán)平均8.02圖表:2019年中國AI服務(wù)器配置情況IDC,中信建投11.3%8.4%7.4%7.6%6.6%80.0%84.7%87.0%87.7%88.8%8.4%4.5%100%90%80%70%60%50%40%30%20%10%0%201620172018201920201路 2路 4路 8路(含)以上6.7% 5.3% 4.5%圖表:2016-2020年中國x86服務(wù)器路數(shù)分布情況IDC,中信建投圖表:全球服務(wù)器出貨量份額預(yù)測HBM1HBM2
Gen1HBM2
Gen2HBM2EHBM3HBM4OperatingFrequency(Mbps)~160016002000-40003200-36004400-64008400-VDD1.2V1.2V1.2V1.2V1.1V1.1VDie
Density(Stack)2GB(4-Hi)8GB(4Hi)8GB(4Hi/8Hi)16GB(4Hi/8Hi)16-24GB(4/8/12Hi)24-32GB(4/8/12/16Hi)ReleaseYear201620172018202020222027(expected)500458557846122718942497-8.4%21.6%51.9%45.0%54.4%31.8%60%50%40%30%20%10%0%-10%-20%0500100015002000250030002019 2020 2021 2022 2023 2024 2025市場規(guī)模(百萬美元)YoY上下游廠商積極布局HBM,2025年市場規(guī)模近25億美元SK海力士,中信建投SK海力士是HBM開發(fā)的先行者,并在技術(shù)開發(fā)和市場份額上占據(jù)領(lǐng)先地位。2014年,SK海力士與AMD聯(lián)合開發(fā)了全球首款HBM產(chǎn)品。SK海力士的HBM3發(fā)布7個月后實現(xiàn)了量產(chǎn),將搭載于NVIDIA
H100之上。根據(jù)BussinessKorea的報道,SK海力士在HBM市場已獲得60%-70%的市場份額。HBM賽場集齊三大DRAM巨頭,晶圓代工廠也通過升級相關(guān)封裝技術(shù)參與其中。SK海力士之后,三星、美光推出了各自的HBM產(chǎn)品,分別迭代至HBM3和HBM2E。晶圓代工廠商包括如臺積電、格芯等也在發(fā)力HBM相關(guān)的封裝技術(shù)。HBM在算力芯片中的應(yīng)用范圍持續(xù)擴大,2025年市場規(guī)模將接近25億美元??蛻舴矫?,AMD和NVIDIA兩大顯卡廠商已多次在其GPGPU產(chǎn)品上采用HBM,Intel發(fā)布了全球首款集成HBM的x86
CPU,Xilinx在其FPGA產(chǎn)品中推出了搭載HBM的系列。隨著AI技術(shù)不斷擴大對高算力的需求,HBM銷售量有望迎來快速增長。Omdia預(yù)計2025年HBM市場規(guī)模將接近25億美元,是2020年的5倍多。圖表:SK海力士的HBM產(chǎn)品迭代 圖表:全球HBM市場規(guī)模預(yù)測Omdia,中信建投Fan-out根據(jù)重構(gòu)載體差異分為WLP和PLP兩類Fan-out扇出形封裝可分為FOWLP和FOPLP兩類,主要差異在于切割后的晶粒放置于不同的載體上:FOWLP
(Fan-out
Wafer
Level
Package)晶圓級扇出形封裝,將切割后的晶粒組合成為重構(gòu)晶圓,然后進行塑封、去除載片、制作RDL等工藝以完成封裝。FOWLP適合AP以及CPU、GPU、FPGA等算力芯片的封裝。FOPLP(Fan-out
Panel
Level
Package)面板級扇出形封裝是FOWLP技術(shù)的延伸,但采用了更大的面板如PCB載板或液晶面板用的玻璃載板,因此可以量產(chǎn)出數(shù)倍于12英寸硅晶圓芯片的封裝產(chǎn)品,成本上更具優(yōu)勢。FOPLP適合AP、功率器件、電源管理IC的封裝。圖表:FOWLP與FOPLP封裝過程中所用載體差異 圖表:FOWLP與FOPLP封裝成本差異SiP與先進封裝技術(shù),中信建投Yole,中信建投Fan-out核心工藝步驟Fan
Out工藝流程可分為Mold
first和RDL
first兩類,區(qū)別主要在核心工藝步驟順序,以Mold
first為例:1)從晶圓代工廠生產(chǎn)出的晶圓經(jīng)過測試后,將來料晶圓切割成為裸晶;2)將切割后的裸晶嵌入粘接到人造塑料晶圓(重組晶圓)中,組合成為重構(gòu)晶圓,與來料晶圓相比,重構(gòu)晶圓上裸晶之間的距離相對更大。3)完成重構(gòu)晶圓的貼片后,對重構(gòu)晶圓進行塑封以固定和保護裸晶。然后將重構(gòu)晶圓載片移除,從而將裸晶對外的輸入輸出接口(I/O)露出。4)在晶圓上通過金屬布線工藝制作再布線層(RDL),并在RDL所連接的金屬焊盤上進行植球。最后將重構(gòu)晶圓進行圖切表割:F,O以WL得P/F到OP獨LP立工的藝流芯程片。RDL
first則是先在載板上生成RDL,再將裸晶接合。micromachines,中信建投FOWLP在汽車電子應(yīng)用廣泛,F(xiàn)an-Out市場份額高度集中FOWLP發(fā)展更為成熟,是當(dāng)前Fan-out封裝市場主流選擇。在Fan-out市場中,F(xiàn)OPLP的發(fā)展因受到良率產(chǎn)量、翹曲及設(shè)備投入研發(fā)、投資回報率等種種挑戰(zhàn),產(chǎn)業(yè)發(fā)展進程仍有待提高。根據(jù)Yole數(shù)據(jù),2020年FOPLP在Fan-out市場中份額僅占3%,預(yù)計2026年升至7%。Fan-out參與者陣營持續(xù)擴充,市場份額集中度高。OSAT、IDM、Fab等來自不同領(lǐng)域的制造商都布局了Fan-out技術(shù),一些面板廠也切入FOPLP的研發(fā),F(xiàn)an-out封裝參與者陣營持續(xù)豐富擴充。根據(jù)Yole數(shù)據(jù),F(xiàn)an-out市場集中度較高,臺積電與全球前三大OSAT廠資料來源:國際電子商情,中信建投20.0%66.9%5.1%
3.0%1.9%0.7%0.5%TSMCNepesASEPTI1.9%長電科技
Amkor三星電子
華天科技商圖表20:2200年20合年計全市球場Fa份n-O額u達t封到裝9市5場%份,額2022年仍維持在90%以上圖表。:扇出形封裝參與廠商代表Yole,中信建投93%圖表:2026年FOWLP/FOPLP市場份額預(yù)測FOWLP FOPLP7%Yole,中信建投三、空間:行業(yè)規(guī)模與龍頭二、工藝:先進封裝與2.5D/3D封裝關(guān)鍵工藝目錄 一、應(yīng)用:手機封裝工藝->汽車封裝工藝->HPC四、供給:國內(nèi)晶圓廠與封裝廠先進封裝市場快速成長,規(guī)模有望超越傳統(tǒng)封裝預(yù)計2027年先進封裝市場規(guī)模增至651億美元,2021-2027年CAGR達到9.6%。根據(jù)Yole數(shù)據(jù),全球封裝市場中,先進封裝占比已由2015年的39%提升至2021年的44%。預(yù)計到2027年,先進封裝市場占比將增至53%,規(guī)模約為651億美元,2021-2027年CAGR約為9.6%,高于傳統(tǒng)封裝市場的3.3%和市場整體的6.3%。$21.5B,39%$33.0B,61%2015$54.3B$37.5B,44%$46.9B,56%2021$84.4B$65.1B,53%$57.0B,47%2027$122.1B先進封裝傳統(tǒng)封裝圖表:全球封裝市場規(guī)模及結(jié)構(gòu)預(yù)測Yole,中信建投先進封裝內(nèi)部相對高階的封裝形式將呈現(xiàn)更快增速Yole,中信建投2021$37.5B2027$65.1BCAGR2021-2027=
~10%封裝類型2021-2027
CAGRFan-out~11%Fan-inWLP~5%2.5D/3D~14%Filp-chip~9%EmbeddedDie~24%倒裝穩(wěn)占先進封裝最大份額,2.5D/3D、嵌入式芯片和扇出成為增長最快的先進封裝平臺。根據(jù)Yole數(shù)據(jù),先進封裝內(nèi)部份額最大的板塊為倒裝(包括FCBGA、FCCSP、FC-SiP),2021年市場規(guī)模約262.7億美元,占比70%。從增速角度來看,相對高階的封裝形式Fan-Out、2.5D
/3D、EmbeddedDie在智能手機、HPC、自動駕駛等領(lǐng)域需求的推動下,保持高于先進封裝整體市場的復(fù)合增速
。圖表:先進封裝市場規(guī)模及結(jié)構(gòu)預(yù)測Fan-out Fan-in
WLP 2.5D/3D Flip-Chip Embedded
Die臺積電先進封裝營收與資本開支持續(xù)增長臺積電在先進封裝上已取得了可觀的收入體量,技術(shù)布局也進入關(guān)鍵節(jié)點,未來投入規(guī)模將持續(xù)加碼。根據(jù)Yole數(shù)據(jù),2020-2022年,臺積電在先進封裝上的營收規(guī)模從36億美元增至53億美元,年復(fù)合增長率為21.3%;在先進封裝上的資本開支從15億美元增至40億美元,年復(fù)合增長率為63.3%。從市場份額來看,2022年臺積電在先進封裝上的營收規(guī)模和資本支出分別位列全球第三和第二。圖表:臺積電先進封裝營收規(guī)模及預(yù)測圖表:臺積電先進封裝資本開支預(yù)測1,5003,0494,0000100020003000400050002020E2021E2022E百萬美元Yole,中信建投Yole,中信建投3,6004,1005,30001000 2000 3000 4000 5000 6000202020212022E百萬美元日月光VIPack先進封裝平臺包含六大核心技術(shù)VIPac是日月光擴展設(shè)計規(guī)則并實現(xiàn)超高密度和性能設(shè)計的下一世代3D異質(zhì)整合架構(gòu)。此平臺利用先進的重布線層(RDL)制程、嵌入式整合以及2.5D/3D封裝技術(shù),協(xié)助客戶在單個封裝中整合多個晶片來實現(xiàn)前所未有的創(chuàng)新應(yīng)用,日月光VIPac由六大核心封裝技術(shù)組成。日月光基于高密度RDL的Fanout
Package-on
Package
(FOPoP)、Fanout
Chip-on-Substrate(FOCoS)、FanoutChip-on-Substrate-Bridge(FOCoS-Bridge)和FanoutSystem-in-Package(FOSiP),以及基于硅通孔(TSV)
的2.5D/3D
IC和Co-Packaged
Optics。除了提供可優(yōu)化時脈速度、頻寬和電力傳輸?shù)母叨日衔庋b解決方案所需的制程能力,VIPack?平臺更可縮短共同設(shè)計時間、產(chǎn)品開發(fā)和上市時程。圖表:日月光VIPack先進封裝平臺ASE,中信建投日月光VIPack先進封裝平臺包含六大核心技術(shù)技術(shù)名稱示意圖連接層技術(shù)應(yīng)用FOPoPFan-OutPackageon
PackageRDL存儲IC與邏輯IC的3D封裝FOCoSFan-OutChipon
SubstrateRDL大尺寸和高I/O密度的網(wǎng)絡(luò)和服務(wù)器芯片F(xiàn)OCoS-BFan-OutChipon
Substrate-BridgeRDLSiBridge封裝ASIC與HBMFOSiPFan-Out
System-in-PackageRDL智能手機、平板電腦、射頻基礎(chǔ)設(shè)施、邊緣計算和物聯(lián)網(wǎng)芯片2.5D/3DTSV集成高端顯卡、FPGA等應(yīng)用的HBMCo-Packaged
OpticsTSV超大規(guī)模數(shù)據(jù)中心的光收發(fā)器或板載/聯(lián)合封裝光學(xué)器件ASE,中信建投安靠推出五大先進封裝工藝平臺安靠推出五大先進封裝解決方案:FCMCM(倒裝多晶片模組)與2.5D(TSV)已進入大規(guī)模量產(chǎn)階段。S-SWIFT
HDFO利用銅微線光刻和有機介電質(zhì)來實現(xiàn)高水平的信號路由密度,允許集成chiplet和HBM,已進入客戶驗證階段。S-Connect是一種組合HDFO和橋接的產(chǎn)品,目前處于內(nèi)部鑒定階段。使用Cu混合鍵合的超密集3D芯片堆疊正在研發(fā)階段。圖表:安靠先進封裝解決方案資料來源:安靠,中信建投全球OSAT龍頭營收規(guī)??焖僭鲩L,資本開支相對穩(wěn)定圖表:用于封裝的資本開支金額預(yù)測(百萬美元)Yole,中信建投221020002000553780950593650050010001500200025002020E2021E2022E日月光 安靠 長電9415116381253450516061704732854054484114000120001000080006000400020000202020212022E圖表:封裝營收規(guī)模及預(yù)測(百萬美元)日月光 安靠 長電Yole,中信建投在OSAT廠商中,日月光、安靠、長電的封裝業(yè)務(wù)營收規(guī)模位列全球前三,日月光因2020年與矽品合并,營收體量較大幅領(lǐng)先于安靠和長電。根據(jù)Yole數(shù)據(jù),2020-2022年,三家公司的封裝營收規(guī)模均保持兩位數(shù)以上的年復(fù)合增速,其中長電增速最快,年復(fù)合增速約為21.4%。日月光在封裝上的資本開支穩(wěn)定在20億美元左右,安靠與長電的資本開支保持上升。由于Intel、TSMC與三星在封裝領(lǐng)域的大規(guī)模投入,OSAT廠商的資本開支排名相比營收規(guī)模排名有所下滑,日月光、安靠、長電分別位列全球第三、第五、第六。三、空間:行業(yè)規(guī)模與龍頭四、供給:國內(nèi)晶圓廠與封裝廠目錄 二、工藝:先進封裝與2.5D/3D封裝關(guān)鍵工藝一、應(yīng)用:手機封裝工藝->汽車封裝工藝->HPCAIGC開啟算力新時代,先進封裝延續(xù)摩爾定律大模型訓(xùn)練對于算力芯片需求指數(shù)級提升,以GPU為主要算力芯片,ASIC/NPU等芯片方案也將快速發(fā)展。先進制程+先進封裝,EUV極限是3nm,進一步提升芯片性能需要“chiplet”先進封裝工藝:bumping,2.5D/3D封裝行業(yè)參與者:臺積電、日月光、安靠;國內(nèi)先進封裝包括通富微電、長電科技、甬夕科技IP設(shè)計先進制程先進封裝工藝材料設(shè)備長電科技通富科技甬夕電子興森科技深南電路新益昌芯原股份海光信息龍芯中科平頭哥中芯國際ChatGPT簡介基于多層transformer模型,改進訓(xùn)練算法,模型參數(shù)龐大(開源模型OPT-175B),訓(xùn)練數(shù)據(jù)集完備且加入人工干預(yù)機制openAI訓(xùn)練使用10000張英偉達訓(xùn)練卡訓(xùn)練1750億參數(shù)的GPT-3,已經(jīng)需要一個英偉達V100
GPU計算約335年,需要增加
并行度175B模型需要顯存在700GB(半精度350GB)左右,需要至少10張80GB
A100卡按照2.3TB顯存需求計算ChatGPT屬于聯(lián)系上下文對話類模型,屬于自然語言處理類(NLP)的AIGC應(yīng)用單句對話成本在0.1美金左右中芯國際:晶圓代工行業(yè)反轉(zhuǎn)在即,HPC/ADAS拉動新周期1Q123Q121Q133Q131Q143Q141Q153Q151Q163Q161Q173Q171Q183Q181Q193Q191Q203Q201Q213Q211Q223Q221Q23E資料來源:各公司公告,中信建投IC設(shè)計公司去庫存持續(xù),晶圓代工廠稼動率預(yù)計Q2見底:由于個人PC/智能手機等消費電子終端從2022年Q3開始明顯減弱,旺季不旺,IC設(shè)計公司在去年Q3陸續(xù)開始砍單,由于國內(nèi)需求下降更明顯,同時公司部分產(chǎn)線進行了歲修,所以導(dǎo)致稼動率降至92%,環(huán)比下降了5個百分點。Q4開始海外晶圓代工廠聯(lián)電的稼動率也開始受到設(shè)計公司砍單影響下降了10個百分點,中芯國際的產(chǎn)能利用率進一步下降至70%,預(yù)計晶圓代工廠稼動率隨著下半年消費電子需求回暖Q3開始回升。高性能計算(HPC)和自動駕駛(ADAS)有望拉動新一輪半導(dǎo)體周期向上:2021年全球半導(dǎo)體市場規(guī)模達到5559億美元,按照下游占比來看,2022年占比分別為31%,30%和12%的智能手機、個人電腦市場和消費電子均出現(xiàn)大幅下滑,電動車延續(xù)高增長趨勢,我們認(rèn)為AIGC為代表的大算力需求將大幅提升GPU的需求,同時電動車向更高階的自動駕駛演進,ADAS滲透率持續(xù)提升,大算力和汽車半導(dǎo)體有望成為新一輪半導(dǎo)體周期的核心驅(qū)動力。圖表:全球晶圓代工廠商產(chǎn)能利用率% 圖表:2021年不同終端半導(dǎo)體價值量(US$B)與增速%聯(lián)電 中芯國際 華虹半導(dǎo)體 力積電 平均120%110%100%90%80%70%60%SIA,中信建投中芯國際:積極擴產(chǎn)成熟制程,非手機領(lǐng)域需求占比提升12英寸產(chǎn)線 持股比例%
技術(shù)節(jié)點 月產(chǎn)能Kwp中芯北京中芯上海100%100%0.18um-55nm14nm及以下6535中芯南方 39% 14nm及以下 15中芯北方中芯京城-在建中芯東方-在建51%51%66%65nm-24nm28nm28nm50100100
中芯深圳-在建 55% 28nm 40 合計等效8英寸(剔除在建)合計等效8英寸(考慮在建)371911中芯上海100%0.35um-90nm155中芯天津100%0.35um-90nm120 8英寸
中芯深圳 55% 0.35um-0.15um 55 合計 330701161348%47%46%37%35%32%32%
31%29%25%
26%29%16%21%21%16%14%12%13%
13%14%16%
15%11%18%
17%17%20%20%25%24%24%23%
24%23%22%20%16%27%31%
31%32%
32%34%
35%36%39%0%20%10%40%30%50%60%70%80%90%18%100%20Q1
20Q2
20Q3
20Q4
21Q1
21Q2
21Q3
21Q4
22Q1
22Q2
22Q3
22Q4智能手機 智能家居 消費電子 其他國內(nèi)晶圓代工產(chǎn)能市占率25%:公司2022年晶圓出貨量(等效8英寸)達到71.0萬片/月,yoy+5%,實際產(chǎn)能達到75.7萬片/月,yoy+9%,是目前國內(nèi)產(chǎn)能最大的晶圓代工廠。按照中國大陸300萬片/月(等效8英寸)的供給量測算,市占率達到25%。下游應(yīng)用領(lǐng)域非手機占比持續(xù)提升推動成熟制程擴產(chǎn):從公司下游應(yīng)用占比來看,非手機應(yīng)用如消費電子和其他工業(yè)/自動化等領(lǐng)域需求占比在過去三年大幅提升,這些應(yīng)用領(lǐng)域主要應(yīng)用28nm及以上成熟制程,為了更好的滿足新的市場需求,公司積極擴產(chǎn)成熟制程產(chǎn)能,預(yù)計擴產(chǎn)完成后超過160萬片/月(等效8英寸)。圖表:中芯國際產(chǎn)能分布與擴產(chǎn)規(guī)劃 圖表:中芯國際下游應(yīng)用營收占比變動晶圓產(chǎn)能合計(等效8英寸)晶圓產(chǎn)能合計(等效8英寸)考慮在建資料來源:中芯國際公告,中信建投資料來源:中芯國際公告,中信建投中芯國際:2023年前低后高,下半年消費電子復(fù)蘇可期80%70%60%50%40%30%20%10%0%-10%-20%-30%-14,00012,00010,0008,0006,0004,0002,000營收
百萬元人民幣 營收同比% 營收環(huán)比%資料來源:公司公告,中信建投2022年公司營收增速明顯超過半導(dǎo)體行業(yè):2022年公司實現(xiàn)主營收入488.85億元,同比成長39.3%,其中,晶圓代工業(yè)務(wù)營收為452.93億元,同比增長41.0%。全球智能手機和個人電腦需求顯現(xiàn)疲軟,2022年出貨量同比分別下降11%和16%,拖累全球半導(dǎo)體行業(yè)增長僅為1.1%達到6017億美元,公司增速明顯超越行業(yè)增長。行業(yè)去庫存加速拖累Q1營收和毛利率“雙降”:受到2022年Q3消費電子需求低迷的影響,公司單季度營收增速在2022年Q1見頂后放緩,預(yù)計2023年Q1隨著IC設(shè)計廠商去庫存減少下單,公司營收單季度同比增速轉(zhuǎn)負(fù),同比下滑22%,環(huán)比下滑12%,Q1單季度毛利率下滑至20%,相較于上一個季度下滑12個百分點。2023年半導(dǎo)體行業(yè)展望前低后高,全年業(yè)績承壓:展望2023年智能手機和消費電子行業(yè)回暖需要時間,工業(yè)領(lǐng)域相對穩(wěn)健,汽車電子行業(yè)增量需求僅可以部分抵消手機和消費電子疲弱的負(fù)面影響。公司預(yù)計2023全年營收同比降幅為低十位數(shù),毛利率在20%左右;圖表:SMIC單季度營收與同比/環(huán)比增速% 圖表:SMIC單季度毛利率和營業(yè)利潤%-10%0%10%20%30%40%50%毛利率% 營業(yè)利潤率%資料來源:公司公告,中信建投長電科技:Chiplet封裝工藝平臺量產(chǎn),聚焦2.5/3D封裝所屬區(qū)域所在城市工廠名稱工藝/產(chǎn)品國內(nèi)無錫長電先進Fan-out
CSP晶圓級封裝無錫長電本部BGA/PA封裝為主滁州滁州工廠DIP、SOT打線封裝,功率分立器件宿遷宿遷工廠國外新加坡SCK(原星科金朋)fan-in和fan-out晶圓級封裝韓國PoP,F(xiàn)C封裝,實現(xiàn)Bumping-FC的一站式封裝,主要產(chǎn)品是存儲和礦機芯片韓國JSCK(新建)海外客戶SiP封裝全球化產(chǎn)能優(yōu)勢明顯:公司封測產(chǎn)能分布在中國、韓國和新加坡三地,其中國內(nèi)長電先進聚焦bumping,F(xiàn)an-outCSP晶圓級等先進封裝,本部以BGA、PA封裝,安徽的滁州和宿遷工廠則以傳統(tǒng)封裝為主,海外工廠包括位于韓國和新加坡的原星科金朋工廠,封裝工藝全球領(lǐng)先。長電先進承載2.5D/3D封裝工藝:2022年長電先進完成了XDFOITM
2.5D試驗線的建設(shè),已按計劃進入穩(wěn)定量產(chǎn)階段,同步實現(xiàn)國際客戶4nm節(jié)點多芯片系統(tǒng)集成封裝產(chǎn)品出貨。在汽車電子領(lǐng)域,公司韓國工廠與下游企業(yè)合作研發(fā)了用于新能源汽車大客戶的芯片,并將用于該客戶車載娛樂信息和ADAS輔助駕駛。圖表:長電各個公司工藝產(chǎn)品 圖表:長電科技Chiplet封裝工藝資料來源:長電科技,中信建投資料來源:長電科技,中信建投長電科技:海外工廠受益SiP封測拉動和精益管理實現(xiàn)增長所屬區(qū)域所在城市工廠名稱2021年營收2021年凈利潤2022年營收2022年凈利潤22/21收入yoy%22/21凈利潤yoy%國內(nèi)無錫長電先進2,1044161,682248-20%-41%無錫長電本部8,9791,8657,078552-21%-70%滁州宿遷滁州工廠宿遷工廠1,3542601,074133-21%-49%1,2271541,11376-9%-51%國外新加坡韓國SCK(原星科金朋)11,09892313,0351,82817%98%韓國JSCK(新建)9,90445812,38741325%-10%34,6654,07736,3703,2495%-20%合計資料來源:長電科技,中信建投國內(nèi)工廠受到消費電子需求下滑獲利大幅衰退:由于消費電子市場需求疲軟、訂單下降、價格競爭激烈使得產(chǎn)能利用率降低,長電先進營收及利潤較上年相比分別下降20%和40%。以成熟工藝為主的滁州和宿遷工廠營收和利潤同樣出現(xiàn)大幅下滑,營收分別下降21%和9%,凈利潤較上一年同比減少49%和50%。海外工廠受益于高端封測營收占比實現(xiàn)逆勢增長:公司新加波和韓國工廠(SCK)通過技術(shù)升級與改造,優(yōu)化產(chǎn)線產(chǎn)品結(jié)構(gòu),加大新產(chǎn)品導(dǎo)入量產(chǎn)力度,強化精益生產(chǎn)效率,使得收入和凈利潤快速上升,凈利潤同比大增98%,長電韓國JSCK主要進行高階SiP產(chǎn)品封裝測試,與去年同期相比,系統(tǒng)級封裝產(chǎn)品業(yè)務(wù)訂單增長拉動營收成長25%。2023年資本開支規(guī)劃:2023年計劃資本開支為65億元,同比增長62.5%,持續(xù)投資chiplet先進封裝工藝。圖表:長電科技各工廠業(yè)績長電科技:高性能計算與汽車電子成為增長驅(qū)動力資料來源:公司公告,中信建投-5%0%5%10%15%20%40,00035,00030,00025,00020,00015,00010,0005,0000-5,00020182019202020212022營業(yè)收入 歸母凈利潤 營業(yè)同比 銷售毛利率2022年營收逆勢增長,海外工廠貢獻主要增量:2022年公司實現(xiàn)營收約337.62億,同比成長10.69%,歸母凈利潤為32.3億元,同比成長9.2%,全年毛利率為17.04%,公司毛利率從去年Q1見頂后開始下降,Q4單季度毛利率降至14.43%。運算電子和汽車電子拉動成長,手機/消費電子下滑:公司2022年度營業(yè)收入按市場應(yīng)用領(lǐng)域劃分情況:通訊電子占比39.3%、消費電子占比29.3%、運算電子占比17.4%、工業(yè)及醫(yī)療電子占比9.6%、
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 工程建設(shè)管理與施工標(biāo)準(zhǔn)化作業(yè)指導(dǎo)書
- 工程項目管理規(guī)范操作流程解讀
- 游戲開發(fā)實踐作業(yè)指導(dǎo)書
- 農(nóng)業(yè)信息化技術(shù)推廣應(yīng)用作業(yè)指導(dǎo)書
- 標(biāo)準(zhǔn)鋼材購銷合同
- 測繪勞務(wù)分包合同
- 出口銷售合同
- 小麥種子購銷合同
- 員工試用勞動合同
- 2025年呼和浩特道路貨運從業(yè)資格證模擬考試
- 肌張力的康復(fù)治療
- 法律職業(yè)資格考試客觀題(試卷一)試題及解答參考(2024年)
- 教學(xué)的模樣讀書分享
- 油庫應(yīng)急處置培訓(xùn)
- 新環(huán)境下人力資源體系建設(shè)方案
- 2024年秋新滬科版物理八年級上冊 第二節(jié) 測量:物體的質(zhì)量 教學(xué)課件
- 火針療法緩解上寒下熱證候群焦慮抑郁情緒的研究
- 7.2維護祖國統(tǒng)一 (課件) 2024-2025學(xué)年九年級道德與法治上冊 (統(tǒng)編版)
- 直播帶貨基本操作流程(直播帶貨流程完整版)
- 多旋翼無人機駕駛員執(zhí)照(CAAC)備考試題庫大全-下部分
- 管理學(xué)專業(yè):管理基礎(chǔ)知識試題庫(附含答案)
評論
0/150
提交評論