數(shù)字溫度計(jì)設(shè)計(jì)報(bào)告_第1頁
數(shù)字溫度計(jì)設(shè)計(jì)報(bào)告_第2頁
數(shù)字溫度計(jì)設(shè)計(jì)報(bào)告_第3頁
數(shù)字溫度計(jì)設(shè)計(jì)報(bào)告_第4頁
數(shù)字溫度計(jì)設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

-.z課程名稱:電子系統(tǒng)課程設(shè)計(jì)題目:數(shù)字溫度計(jì)學(xué)院:信息工程系:電子信息工程專業(yè):電子信息工程班級:電子113學(xué)號:學(xué)生:周游起訖日期:2021.12.8--2021.12.19指導(dǎo)教師:王艷慶職稱:副教授系分管主任:王玉皞審核日期:緒論隨著時(shí)代的開展,控制智能化,儀器小型化,功耗微量化得到廣泛關(guān)注。單片機(jī)控制系統(tǒng)無疑在這些忙面起到了舉足輕重的作用。單片機(jī)的應(yīng)用系統(tǒng)設(shè)計(jì)業(yè)已成為新的技術(shù)熱點(diǎn),其中數(shù)字溫度計(jì)就是一個(gè)典型的例子。隨著人們生活水平的提高,人們對各種測量器具的智能化、多功能化提出了更高的要求,而電子技術(shù)的飛速開展使得單片機(jī)在各種測量產(chǎn)品領(lǐng)域中的應(yīng)用越來越廣泛。把以單片機(jī)為核心,開發(fā)出來的各種測量及控制系統(tǒng)作為測量產(chǎn)品的主要局部,使各種測量產(chǎn)品更具智能化、擁有更多功能、便于人們操作和使用,更具時(shí)代感,這是測量產(chǎn)品的開展方向和趨勢所在。這就要求我們的生產(chǎn)具有自動(dòng)控制系統(tǒng),自動(dòng)控制主要是由計(jì)算機(jī)的離線控制和在線控制來實(shí)現(xiàn)的,離線應(yīng)用包括利用計(jì)算機(jī)實(shí)現(xiàn)對控制系統(tǒng)總體的分析、設(shè)計(jì)、仿真及建模等工作;在線應(yīng)用就是以計(jì)算機(jī)代替常規(guī)的模擬或數(shù)字控制電路使控制系統(tǒng)"軟化〞,使計(jì)算機(jī)位于其中,并成為控制系統(tǒng)、測試系統(tǒng)及信號處理系統(tǒng)的一個(gè)組成局部,這類控制由于計(jì)算機(jī)要身處其中,因此對計(jì)算機(jī)有體積小、功耗低、價(jià)格低廉以及控制功能強(qiáng)有很高的要求,為滿足這些要求,應(yīng)當(dāng)使用單片機(jī)。單片機(jī)在電子產(chǎn)品中應(yīng)用的廣泛,在很多的電子產(chǎn)品中也用到了溫度檢測和溫度控制,但那些溫度檢測與控制電路通常較復(fù)雜,本錢也高,本設(shè)計(jì)提供了一種低本錢的利用單片機(jī)多余I/O口實(shí)現(xiàn)的溫度檢測電路,該電路非常簡單,且易于實(shí)現(xiàn),并且適用于幾乎所有類型的單片機(jī)。溫度作為一個(gè)重要的物理量,是工業(yè)生產(chǎn)過程中最普遍、最重要的工藝參數(shù)之一,所以溫度測量技術(shù)和測量儀器的研究是一個(gè)重要的課題。隨著時(shí)代的進(jìn)步和開展,單片機(jī)技術(shù)已經(jīng)伸入到各個(gè)領(lǐng)域,基于單片機(jī)數(shù)字溫度計(jì)與傳統(tǒng)的溫度計(jì)相比,具有讀數(shù)方便,測溫圍廣,其輸出溫度采用數(shù)字顯示。本次設(shè)計(jì)目是利用51單片機(jī)及溫度傳感器設(shè)計(jì)一個(gè)溫度采集系統(tǒng),通過學(xué)過的單片機(jī)和數(shù)字電路及面向?qū)ο缶幊痰日n程的知識(shí)設(shè)計(jì)。要求的功能是能通過溫度傳感器采集的數(shù)據(jù)在液晶屏顯示,采集的溫度達(dá)一定的精度。二.設(shè)計(jì)目的1.理解掌握MCS-51系列單片機(jī)的功能和實(shí)際應(yīng)用。2.掌握仿真開發(fā)軟件的使用。3.掌握數(shù)字式溫度計(jì)電路的設(shè)計(jì)、組裝與調(diào)試方法。三.設(shè)計(jì)要求1.以MCS-51系列單片機(jī)為核心器件,組成一個(gè)數(shù)字式溫度計(jì)。2.采用數(shù)字式溫度傳感器為檢測器件,進(jìn)展單點(diǎn)溫度檢測,檢測精度為0.53.溫度顯示采用4位LED數(shù)碼管顯示,三位整數(shù),一位小數(shù)。4.具有鍵盤輸入上下限功能,超過上下限溫度時(shí),進(jìn)展聲音報(bào)警。四.設(shè)計(jì)思路1.根據(jù)設(shè)計(jì)要求,選擇AT89C52單片機(jī)為核心器件。2.溫度檢測器件采用DS18B20數(shù)字式溫度傳感器。與單片機(jī)的接口為P3.6引腳。3.鍵盤采用獨(dú)立式按鍵,由三個(gè)按鍵組成,分別是:設(shè)置鍵〔SET〕,加〔+1〕,確認(rèn)鍵〔RET〕。SET鍵〔上下限溫度設(shè)置鍵〕:當(dāng)該鍵按下時(shí),進(jìn)入上下限溫度設(shè)置功能。通過P3.1引腳接入。+1鍵〔加一調(diào)整鍵〕:在輸入上下限溫度時(shí),該鍵按下一次,被調(diào)整位加一。通過P3.2引腳接入。RET鍵〔確認(rèn)鍵〕:當(dāng)該鍵按下時(shí),指向下一個(gè)要調(diào)整的位。通過P3.3引腳接入。4.聲音報(bào)警蜂鳴器通過P1.7引腳接入。硬件電路設(shè)計(jì)總體框圖為圖4.1:3位LED顯示器LED顯示器驅(qū)動(dòng)電路單片機(jī)按鍵輸入電路3位LED顯示器LED顯示器驅(qū)動(dòng)電路單片機(jī)按鍵輸入電路時(shí)鐘電路復(fù)位電路時(shí)鐘電路復(fù)位電路溫度檢測溫度檢測蜂鳴器電路蜂鳴器電路圖4.1五、系統(tǒng)的硬件構(gòu)成及功能1.主控制器單片機(jī)AT89S52具有低電壓供電和體積小等特點(diǎn),四個(gè)端口只需要兩個(gè)口就能滿足電路系統(tǒng)的設(shè)計(jì)需要,使用Atmel公司高密度非易失性存儲(chǔ)器技術(shù)制造,與工業(yè)80C51產(chǎn)品指令和引腳完全兼容。片上Flash允許程序存儲(chǔ)器在系統(tǒng)可編程,亦適于常規(guī)編程器。很適合便攜手持式產(chǎn)品的設(shè)計(jì)使用。AT89S52在仿真軟件中的圖像如下2.顯示電路顯示電路采用四位共陽LED數(shù)碼管,從P3口R*D,T*D串口輸出段碼。LED數(shù)碼管在仿真軟件中如圖3.溫度傳感器DS18B20是美國DALLAS半導(dǎo)體公司最新推出的一種改良型智能溫度傳感器,與傳統(tǒng)的熱敏電阻等測溫元件相比,它能直接讀出被測溫度,并且可根據(jù)實(shí)際要求通過簡單的編程實(shí)現(xiàn)9~12位的數(shù)字值讀數(shù)方式。DS18B20的性能特點(diǎn)如下:?獨(dú)特的單線接口僅需一個(gè)端口引腳進(jìn)展通訊?簡單的多點(diǎn)分布應(yīng)用?無需外部器件?可通過數(shù)據(jù)線供電?零待機(jī)功耗?測溫圍-55~+125℃,以0.5℃遞增。華氏器件-67~+2570F,以0.90F遞增?溫度以9位數(shù)字量讀出?溫度數(shù)字量轉(zhuǎn)換時(shí)間200ms〔典型值〕?用戶可定義的非易失性溫度報(bào)警設(shè)置?報(bào)警搜索命令識(shí)別并標(biāo)志超過程序限定溫度〔溫度報(bào)警條件〕的器件。DS18B20部構(gòu)造主要由四局部組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)器TH和TL、配置存放器。DS18B20的管腳排列、各種封裝形式如圖所示,DQ為數(shù)據(jù)輸入/輸出引腳。開漏單總線接口引腳。當(dāng)被用著在寄生電源下,也可以向器件提供電源;GND為地信號;VDD為可選擇的VDD引腳。當(dāng)工作于寄生電源時(shí),此引腳必須接地。DS18B20的測溫原理如下圖,圖中低溫度系數(shù)晶振的振蕩頻率受溫度的影響很小用于產(chǎn)生固定頻率的脈沖信號送給減法計(jì)數(shù)器1,高溫度系數(shù)晶振隨溫度變化其震蕩頻率明顯改變,所產(chǎn)生的信號作為減法計(jì)數(shù)器2的脈沖輸入,圖中還隱含著計(jì)數(shù)門,當(dāng)計(jì)數(shù)門翻開時(shí),DS18B20就對低溫度系數(shù)振蕩器產(chǎn)生的時(shí)鐘脈沖后進(jìn)展計(jì)數(shù),進(jìn)而完成溫度測量.計(jì)數(shù)門的開啟時(shí)間由高溫度系數(shù)振蕩器來決定,每次測量前,首先將-55℃所對應(yīng)的基數(shù)分別置入減法計(jì)數(shù)器1和溫度存放器中,減法計(jì)數(shù)器1和溫度存放器被預(yù)置在-55℃所對應(yīng)的一個(gè)基數(shù)值。減法計(jì)數(shù)器1對低溫度系數(shù)晶振產(chǎn)生的脈沖信號進(jìn)展減法計(jì)數(shù),當(dāng)減法計(jì)數(shù)器1的預(yù)置值減到0時(shí)溫度存放器的值將加1,減法計(jì)數(shù)器1的預(yù)置將重新被裝入,減法計(jì)數(shù)器1重新開場對低溫度系數(shù)晶振產(chǎn)生的脈沖信號進(jìn)展計(jì)數(shù),如此循環(huán)直到減法計(jì)數(shù)器2計(jì)數(shù)到0時(shí),停頓溫度存放器值的累加,此時(shí)溫度存放器中的數(shù)值即為所測溫圖中的斜率累加器用于補(bǔ)償和修正測溫過程中的非線性其輸出用,于修正減法計(jì)數(shù)器的預(yù)置值,只要計(jì)數(shù)門仍未關(guān)閉就重復(fù)上述過程,直至溫度存放器值到達(dá)被測溫度值,這就是DS18B20的測溫原理。另外,由于DS18B20單線通信功能是分時(shí)完成的,有嚴(yán)格的時(shí)隙概念,因此讀寫時(shí)序很重要。系統(tǒng)對DS18B20的各種操作必須按協(xié)議進(jìn)展。操作協(xié)議為:初始化DS18B20〔發(fā)復(fù)位脈沖〕→發(fā)ROM功能命令→發(fā)存儲(chǔ)器操作命令→處理數(shù)據(jù)。圖5.3.3在正常測溫情況下,DS1820的測溫分辨力為0.5℃,可采用下述方法獲得高分辨率的溫度測量結(jié)果:首先用DS1820提供的讀暫存器指令〔BEH〕讀出以0.5℃為分辨率的溫度測量結(jié)果,然后切去測量結(jié)果中的最低有效位〔LSB〕,得到所測實(shí)際溫度的整數(shù)局部Tz,然后再用BEH指令取計(jì)數(shù)器1的計(jì)數(shù)剩余值Cs和每度計(jì)數(shù)值CD??紤]到DS1820測量溫度的整數(shù)局部以0.25℃、0.75℃為進(jìn)位界限的關(guān)系,實(shí)際溫度Ts可用下式計(jì)算:表1.局部溫度值對應(yīng)的二進(jìn)制溫度數(shù)據(jù)。溫度/℃二進(jìn)制表示十六進(jìn)制表示+125000001111101000007D0H+8500000101010100000550H+25.062500000001100100000191H+10.125000000001010000100A2H+0.500000000000000100008H000000000000010000000H-0.51111111111110000FFF8H-10.1251111111101011110FF5EH-25.06251111111001101111FE6FH-551111110010010000FC90H六.系統(tǒng)整體硬件電路根據(jù)設(shè)計(jì)要求與設(shè)計(jì)思路,硬件電路設(shè)計(jì)框圖如圖6.1所示,在仿真軟件Proteus上完成。其中LED數(shù)碼管以動(dòng)態(tài)掃描法實(shí)現(xiàn)溫度顯示,由四個(gè)PNP型晶體管Q2,Q3,Q4,Q5和八個(gè)電阻組成,基極與單片機(jī)的P1.0,P1.1,P1.2連接。DS18B20的數(shù)據(jù)I/O端與單片機(jī)P3.6引腳連接。外部晶振為12MHz。蜂鳴器通過Q1放大后與引腳P1.7相連。如圖七.系統(tǒng)程序設(shè)計(jì)數(shù)字式溫度計(jì)的應(yīng)用程序主要包括主程序,溫度檢測程序,溫度轉(zhuǎn)換程序,LED顯示程序等。系統(tǒng)的主程序主要用來初始化一些系統(tǒng)參數(shù),對DS18B20的配置數(shù)據(jù)進(jìn)展一系列的設(shè)定。溫度檢測程序是對DS18B20的狀態(tài)不斷地查詢,讀出RAM中的9字節(jié),在讀出時(shí)需進(jìn)展CRC校驗(yàn),校驗(yàn)有錯(cuò)時(shí)不進(jìn)展溫度數(shù)據(jù)的改寫,讀取當(dāng)前的溫度值后,進(jìn)展溫度轉(zhuǎn)化程序,對溫度符號處理和溫度值的BCD碼處理,進(jìn)展溫度值正負(fù)的判定,將其段碼送至顯示緩沖區(qū),以備定時(shí)掃描效勞程序處理。LED顯示程序主要對顯示緩沖器中的顯示數(shù)據(jù)進(jìn)展刷新操作,當(dāng)最高顯示位為0時(shí),將符號顯示位移入下一位。總程序程序代碼見附錄一開場開場顯示緩沖區(qū)初始化顯示緩沖區(qū)初始化復(fù)位DS18B20復(fù)位DS18B20發(fā)跳過ROM命令發(fā)跳過ROM命令發(fā)溫度轉(zhuǎn)換命令發(fā)溫度轉(zhuǎn)換命令延時(shí)延時(shí)復(fù)位DS18B20復(fù)位DS18B20發(fā)跳過ROM命令發(fā)跳過ROM命令發(fā)讀存儲(chǔ)器命令發(fā)讀存儲(chǔ)器命令讀溫度數(shù)據(jù)讀溫度數(shù)據(jù)溫度符號判定溫度符號判定將溫度轉(zhuǎn)換為BCD碼將溫度轉(zhuǎn)換為BCD碼更新顯示緩沖區(qū)更新顯示緩沖區(qū)八.仿真1.Proteus仿真結(jié)果軟件方面,在Proteus編譯下進(jìn)展,源程序編譯及仿真調(diào)試。九.設(shè)計(jì)心得體會(huì)本次課設(shè)對我來說是一次難得的經(jīng)歷,首先是第一次接觸了仿真軟件Proteus,在使用時(shí)經(jīng)歷了很屢次失敗,因?yàn)檫@款軟件與以前使用的各種軟件有很多不同,使用時(shí)不停出錯(cuò),接線時(shí)由于元件放置不合理而接的雜亂無章;輸入源程序時(shí)還較為順利,顯示結(jié)果比擬滿意。其次是程序設(shè)計(jì),我們在參考別人成功先例的根底上根據(jù)自己設(shè)計(jì)的需要編制程序,其中歷經(jīng)不少曲折,最后我的收獲是,編程一定要細(xì)心,針對每一個(gè)細(xì)節(jié),稍有疏忽,程序就不能正常工作。在這次的實(shí)踐與學(xué)習(xí)中,盡管期間困難重重,但我還是從中學(xué)習(xí)了不少新的知識(shí)與技能和解決困難的方法,也終于體驗(yàn)到了經(jīng)歷困難到最終獲得成功的那種無以言表的喜悅之情,總之,本次課設(shè)是我收獲最多的一次,也希望自己在以后的各項(xiàng)研究活動(dòng)中能堅(jiān)持這種精神。附錄一源程序*include<REG*51.H>*include"intrins.h"http://_nop_();延時(shí)函數(shù)用*definedmP0//段碼輸出口*defineucharunsignedchar*defineuintunsignedintsbitDQ=P2^7;//溫度輸入口sbitw0=P2^0;//數(shù)碼管4sbitw1=P2^1;//數(shù)碼管3sbitw2=P2^2;//數(shù)碼管2sbitw3=P2^3;//數(shù)碼管1sbitbeep=P1^7;//蜂鳴器和指示燈sbitset=P2^6;//溫度設(shè)置切換鍵sbitadd=P2^4;//溫度加sbitdec=P2^5;//溫度減inttemp1=0;//顯示當(dāng)前溫度和設(shè)置溫度的標(biāo)志位為0時(shí)顯示當(dāng)前溫度uinth;uinttemp;ucharr;ucharhigh=35,low=20;ucharsign;ucharq=0;uchartt=0;ucharscale;//**************溫度小數(shù)局部用查表法***********//ucharcodeditab[16]={0*00,0*01,0*01,0*02,0*03,0*03,0*04,0*04,0*05,0*06,0*06,0*07,0*08,0*08,0*09,0*09};//小數(shù)斷碼表ucharcodetable_dm[12]={0*3f,0*06,0*5b,0*4f,0*66,0*6d,0*7d,0*07,0*7f,0*6f,0*00,0*40};//共陰LED段碼表"0""1""2""3""4""5""6""7""8""9""不亮""-"uchartable_dm1[]={0*bf,0*86,0*db,0*cf,0*e6,0*ed,0*fd,0*87,0*ff,0*ef};//個(gè)位帶小數(shù)點(diǎn)的斷碼表uchardatatemp_data[2]={0*00,0*00};//讀出溫度暫放uchardatadisplay[5]={0*00,0*00,0*00,0*00,0*00};//顯示單元數(shù)據(jù),共4個(gè)數(shù)據(jù)和一個(gè)運(yùn)算暫用/*****************11us延時(shí)函數(shù)*************************/voiddelay(uintt){for(;t>0;t--);}voidscan(){intj;for(j=0;j<4;j++){switch(j){case0:dm=table_dm[display[0]];w0=0;delay(50);w0=1;//*iaoshucase1:dm=table_dm1[display[1]];w1=0;delay(50);w1=1;//geweicase2:dm=table_dm[display[2]];w2=0;delay(50);w2=1;//shiweicase3:dm=table_dm[display[3]];w3=0;delay(50);w3=1;//baiwei//else{dm=table_dm[b3];w3=0;delay(50);w3=1;}}}}//***************DS18B20復(fù)位函數(shù)************************/ow_reset(void){charpresence=1;while(presence){while(presence){DQ=1;_nop_();_nop_();//從高拉倒低DQ=0;delay(50);//550usDQ=1;delay(6);//66uspresence=DQ;//presence=0復(fù)位成功,繼續(xù)下一步}delay(45);//延時(shí)500uspresence=~DQ;}DQ=1;//拉高電平}/****************DS18B20寫命令函數(shù)************************///向1-WIRE總線上寫1個(gè)字節(jié)voidwrite_byte(ucharval){uchari;for(i=8;i>0;i--){DQ=1;_nop_();_nop_();//從高拉倒低DQ=0;_nop_();_nop_();_nop_();_nop_();//5usDQ=val&0*01;//最低位移出delay(6);//66usval=val/2;//右移1位}DQ=1;delay(1);}/****************DS18B20讀1字節(jié)函數(shù)************************///從總線上取1個(gè)字節(jié)ucharread_byte(void){uchari;ucharvalue=0;for(i=8;i>0;i--){DQ=1;_nop_();_nop_();value>>=1;DQ=0;_nop_();_nop_();_nop_();_nop_();//4usDQ=1;_nop_();_nop_();_nop_();_nop_();//4usif(DQ)value|=0*80;delay(6);//66us}DQ=1;return(value);}/*****************讀出溫度函數(shù)************************/read_temp(){ow_reset();//總線復(fù)位delay(200);write_byte(0*cc);//發(fā)命令write_byte(0*44);//發(fā)轉(zhuǎn)換命令ow_reset();delay(1);write_byte(0*cc);//發(fā)命令write_byte(0*be);temp_data[0]=read_byte();//讀溫度值的第字節(jié)temp_data[1]=read_byte();//讀溫度值的高字節(jié)temp=temp_data[1];temp<<=8;temp=temp|temp_data[0];//兩字節(jié)合成一個(gè)整型變量。returntemp;//返回溫度值}/****************溫度數(shù)據(jù)處理函數(shù)************************///二進(jìn)制高字節(jié)的低半字節(jié)和低字節(jié)的高半字節(jié)組成一字節(jié),這個(gè)//字節(jié)的二進(jìn)制轉(zhuǎn)換為十進(jìn)制后,就是溫度值的百、十、個(gè)位值,而剩//下的低字節(jié)的低半字節(jié)轉(zhuǎn)化成十進(jìn)制后,就是溫度值的小數(shù)局部/********************************************************/work_temp(uinttem){ucharn=0;if(tem>6348)//溫度值正負(fù)判斷{tem=65536-tem;n=1;}//負(fù)溫度求補(bǔ)碼,標(biāo)志位置1display[4]=tem&0*0f;//取小數(shù)局部的值display[0]=ditab[display[4]];//存入小數(shù)局部顯示值display[4]=tem>>4;//取中間八位,即整數(shù)局部的值display[3]=display[4]/100;//取百位數(shù)據(jù)暫存display[1]=display[4]%100;//取后兩位數(shù)據(jù)暫存display[2]=display[1]/10;//取十位數(shù)據(jù)暫存display[1]=display[1]%10;//個(gè)位數(shù)據(jù)r=display[1]+display[2]*10+display[3]*100;/////符號位顯示判斷/////if(!display[3]){display[3]=0*0a;//最高位為0時(shí)不顯示if(!display[2]){display[2]=0*0a;//次高位為0時(shí)不顯示}}if(n){display[3]=0*0b;}//負(fù)溫度時(shí)最高位顯示"-"}voidBEEP(){if((r>=high&&r<129)||r<low){beep=!beep;}else{beep=0;}}//*********設(shè)置溫度顯示轉(zhuǎn)換************//void*ianshi(inthorl){intn=0;if(horl>128){horl=256-horl;n=1;}display[3]=horl/100;display[3]=display[3]&0*0f;display[2]=horl%100/10;display[1]=horl%10;display[0]=0;if(!display[3

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論