時(shí)間頻率測量及調(diào)制域分析_第1頁
時(shí)間頻率測量及調(diào)制域分析_第2頁
時(shí)間頻率測量及調(diào)制域分析_第3頁
時(shí)間頻率測量及調(diào)制域分析_第4頁
時(shí)間頻率測量及調(diào)制域分析_第5頁
已閱讀5頁,還剩80頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

時(shí)間頻率測量及調(diào)制域分析第一頁,共九十三頁,編輯于2023年,星期六5.1 概述5.1.1時(shí)間、頻率的基本概念

1)時(shí)間和頻率的定義

2)時(shí)頻測量的特點(diǎn)

3)測量方法概述5.1.2電子計(jì)數(shù)器概述

1)電子計(jì)數(shù)器的分類

2)主要技術(shù)指標(biāo)

3)電子計(jì)數(shù)器的發(fā)展第二頁,共九十三頁,編輯于2023年,星期六5.1.1時(shí)間、頻率的基本概念

1)時(shí)間和頻率的定義◆時(shí)間有兩個(gè)含義:

“時(shí)刻”:即某個(gè)事件何時(shí)發(fā)生;

“時(shí)間間隔”:即某個(gè)時(shí)間相對于某一時(shí)刻持續(xù)了多久?!纛l率的定義:周期信號在單位時(shí)間(1s)內(nèi)的變化次數(shù)(周期數(shù))。如果在一定時(shí)間間隔T內(nèi)周期信號重復(fù)變化了N次,則頻率可表達(dá)為:f=N/T◆時(shí)間與頻率的關(guān)系:可以互相轉(zhuǎn)換。第三頁,共九十三頁,編輯于2023年,星期六2)時(shí)頻測量的特點(diǎn)◆最常見和最重要的測量 時(shí)間是7個(gè)基本國際單位之一,時(shí)間、頻率是極為重要的物理量,在通信、航空航天、武器裝備、科學(xué)試驗(yàn)、醫(yī)療、工業(yè)自動化等民用和軍事方面都存在時(shí)頻測量。◆測量準(zhǔn)確度高 時(shí)間頻率基準(zhǔn)具有最高準(zhǔn)確度(可達(dá)10-14),校準(zhǔn)(比對)方便,因而數(shù)字化時(shí)頻測量可達(dá)到很高的準(zhǔn)確度。因此,許多物理量的測量都轉(zhuǎn)換為時(shí)頻測量。◆自動化程度高◆測量速度快第四頁,共九十三頁,編輯于2023年,星期六3)測量方法概述◆頻率的測量方法可以分為:差頻法拍頻法示波法電橋法諧振法比較法直讀法李沙育圖形法測周期法模擬法頻率測量方法數(shù)字法電容充放電法電子計(jì)數(shù)器法第五頁,共九十三頁,編輯于2023年,星期六各種測量方法有著不同的實(shí)現(xiàn)原理,其復(fù)雜程度不同。各種測量方法有著不同的測量準(zhǔn)確度和適用的頻率范圍。數(shù)字化電子計(jì)數(shù)器法是時(shí)間、頻率測量的主要方法,是本章的重點(diǎn)。第六頁,共九十三頁,編輯于2023年,星期六5.1.2電子計(jì)數(shù)器概述1)電子計(jì)數(shù)器的分類◆按功能可以分為如下四類:(1)通用計(jì)數(shù)器:可測量頻率、頻率比、周期、時(shí)間間隔、累加計(jì)數(shù)等。其測量功能可擴(kuò)展。(2)頻率計(jì)數(shù)器:其功能限于測頻和計(jì)數(shù)。但測頻范圍往往很寬。(3)時(shí)間計(jì)數(shù)器:以時(shí)間測量為基礎(chǔ),可測量周期、脈沖參數(shù)等,其測時(shí)分辨力和準(zhǔn)確度很高。(4)特種計(jì)數(shù)器:具有特殊功能的計(jì)數(shù)器。包括可逆計(jì)數(shù)器、序列計(jì)數(shù)器、預(yù)置計(jì)數(shù)器等。用于工業(yè)測控。第七頁,共九十三頁,編輯于2023年,星期六1)電子計(jì)數(shù)器的分類按用途可分為:

測量用計(jì)數(shù)器和控制用計(jì)數(shù)器。按測量范圍可分為: (1)低速計(jì)數(shù)器(低于10MHz) (2)中速計(jì)數(shù)器(10~100MHz) (3)高速計(jì)數(shù)器(高于100MHz) (4)微波計(jì)數(shù)器(1~80GHz)

第八頁,共九十三頁,編輯于2023年,星期六2)主要技術(shù)指標(biāo)(1)測量范圍:毫赫~幾十GHz。(2)準(zhǔn)確度:可達(dá)10-9以上。(3)晶振頻率及穩(wěn)定度:晶體振蕩器是電子計(jì)數(shù)器的內(nèi)部基準(zhǔn),一般要求高于所要求的測量準(zhǔn)確度的一個(gè)數(shù)量級(10倍)。輸出頻率為1MHz、2.5MHz、5MHz、10MHz等,普通晶振穩(wěn)定度為10-5,恒溫晶振達(dá)10-7~10-9。(4)輸入特性:包括耦合方式(DC、AC)、觸發(fā)電平(可調(diào))、靈敏度(10~100mV)、輸入阻抗(50Ω低阻和1MΩ//25pF高阻)等。(5)閘門時(shí)間(測頻):有1ms、10ms、100ms、1s、10s。(6)時(shí)標(biāo)(測周):有10ns、100ns、1ms、10ms。(7)顯示:包括顯示位數(shù)及顯示方式等。第九頁,共九十三頁,編輯于2023年,星期六3)電子計(jì)數(shù)器的發(fā)展◆測量方法的不斷發(fā)展:模擬數(shù)字技術(shù)智能化。◆測量準(zhǔn)確度和頻率上限是電子計(jì)數(shù)器的兩個(gè)重要指標(biāo),電子計(jì)數(shù)器的發(fā)展體現(xiàn)了這兩個(gè)指標(biāo)的不斷提高及功能的擴(kuò)展和完善。◆例子:●通道:兩個(gè)225MHz通道,也可 選擇第三個(gè)12.4GHz通道?!衩棵?2位的頻率分辨率、150ps的時(shí)間間隔分辨率?!駵y量功能:包括頻率、頻率比、時(shí)間間隔、上升時(shí)間、下降時(shí)間、相位、占空比、正脈沖寬度、負(fù)脈沖寬度、總和、峰電壓、時(shí)間間隔平均和時(shí)間間隔延遲?!裉幚砉δ埽浩骄怠⒆钚≈?、最大值和標(biāo)準(zhǔn)偏差。第十頁,共九十三頁,編輯于2023年,星期六5.2時(shí)間與頻率標(biāo)準(zhǔn)5.2.1時(shí)間與頻率的原始標(biāo)準(zhǔn)

1)天文時(shí)標(biāo)

2)原子時(shí)標(biāo)5.2.2石英晶體振蕩器

1)組成

2)指標(biāo)第十一頁,共九十三頁,編輯于2023年,星期六5.2.1時(shí)間與頻率的原始標(biāo)準(zhǔn)1)天文時(shí)標(biāo)◆原始標(biāo)準(zhǔn)應(yīng)具有恒定不變性?!纛l率和時(shí)間互為倒數(shù),其標(biāo)準(zhǔn)具有一致性。◆宏觀標(biāo)準(zhǔn)和微觀標(biāo)準(zhǔn)

宏觀標(biāo)準(zhǔn):基于天文觀測; 微觀標(biāo)準(zhǔn):基于量子電子學(xué),更穩(wěn)定更準(zhǔn)確。◆世界時(shí)(UT,UniversalTime):以地球自轉(zhuǎn)周期(1天)確定的時(shí)間,即1/(24×60×60)=1/86400為1秒。其誤差約為10-7量級。

第十二頁,共九十三頁,編輯于2023年,星期六1)天文時(shí)標(biāo)◆為世界時(shí)確定時(shí)間觀測的參考點(diǎn),得到平太陽時(shí):由于地球自轉(zhuǎn)周期存在不均勻性,以假想的平太陽作為基本參考點(diǎn)。零類世界時(shí)(UT0):以平太陽的子夜0時(shí)為參考。第一類世界時(shí)(UT1):對地球自轉(zhuǎn)的極移效應(yīng)(自轉(zhuǎn)軸微小位移)作修正得到。第二類世界時(shí)(UT2):對地球自轉(zhuǎn)的季節(jié)性變化(影響自轉(zhuǎn)速率)作修正得到。準(zhǔn)確度為3×10-8

。歷書時(shí)(ET):以地球繞太陽公轉(zhuǎn)為標(biāo)準(zhǔn),即公轉(zhuǎn)周期(1年)的31556925.9747分之一為1秒。參考點(diǎn)為1900年1月1日0時(shí)(國際天文學(xué)會定義)。準(zhǔn)確度達(dá)1×10-9

。于1960年第11屆國際計(jì)量大會接受為“秒”的標(biāo)準(zhǔn)。第十三頁,共九十三頁,編輯于2023年,星期六2)原子時(shí)標(biāo)◆基于天文觀測的宏觀標(biāo)準(zhǔn)用于測試計(jì)量中的不足設(shè)備龐大、操作麻煩;觀測時(shí)間長;準(zhǔn)確度有限?!粼訒r(shí)標(biāo)(AT)的量子電子學(xué)基礎(chǔ) 原子(分子)在能級躍遷中將吸收(低能級到高能級)或輻射(高能級到低能級)電磁波,其頻率是恒定的。

hfn-m=En-Em

式中,h=6.6252×10-27為普朗克常數(shù),En、Em為受激態(tài)的兩個(gè)能級,fn-m為吸收或輻射的電磁波頻率。第十四頁,共九十三頁,編輯于2023年,星期六2)原子時(shí)標(biāo)原子時(shí)標(biāo)的定義

1967年10月,第13屆國際計(jì)量大會正式通過了秒的新定義:“秒是Cs133原子基態(tài)的兩個(gè)超精細(xì)結(jié)構(gòu)能級之間躍遷頻率相應(yīng)的射線束持續(xù)9,192,631,770個(gè)周期的時(shí)間”。

1972年起實(shí)行,為全世界所接受。秒的定義由天文實(shí)物標(biāo)準(zhǔn)過渡到原子自然標(biāo)準(zhǔn),準(zhǔn)確度提高了4~5個(gè)量級,達(dá)5×10-14(相當(dāng)于64萬年±1秒),并仍在提高。協(xié)調(diào)世界時(shí)(UTC)原子時(shí)標(biāo)與天文時(shí)標(biāo)各有其用處用原子時(shí)標(biāo)對天文時(shí)標(biāo)進(jìn)行修正得到UTC第十五頁,共九十三頁,編輯于2023年,星期六5.2.2石英晶體振蕩器電子計(jì)數(shù)器內(nèi)部時(shí)間、頻率基準(zhǔn)采用石英晶體振蕩器(簡稱“晶振”)為基準(zhǔn)信號源?;趬弘娦?yīng)產(chǎn)生穩(wěn)定的頻率輸出。但是晶振頻率易受溫度影響(其頻率-溫度特性曲線有拐點(diǎn),在拐點(diǎn)處最平坦),普通晶體頻率準(zhǔn)確度為10-5。采用溫度補(bǔ)償或恒溫措施(恒定在拐點(diǎn)處的溫度)可得到高穩(wěn)定、高準(zhǔn)確的頻率輸出。第十六頁,共九十三頁,編輯于2023年,星期六2)指標(biāo)◆晶體振蕩器的主要指標(biāo)有:

輸出頻率:1MHz、2.5MHz、5MHz、10MHz。 日波動:2×10-10

;日老化:1×10-10;秒穩(wěn):5×10-12。 輸出波形:正弦波;輸出幅度:0.5Vrms(負(fù)載50Ω)?!魩追N不同類型的晶體振蕩器指標(biāo)

晶振類型輸出頻率(MHz)日穩(wěn)定度準(zhǔn)確度普通1,1010-5~10-610-5溫度補(bǔ)償1,5,1010-6~10-710-6單恒溫槽1,2.5,5,1010-7~10-910-6~10-8雙恒溫槽2.5,5,1010-9~10-11優(yōu)于10-8第十七頁,共九十三頁,編輯于2023年,星期六5.3時(shí)間和頻率的測量原理5.3.1模擬測量原理

1)直接法

2)比較法5.3.2數(shù)字測量原理

1)門控計(jì)數(shù)法測量原理

2)通用計(jì)數(shù)器的基本組成第十八頁,共九十三頁,編輯于2023年,星期六5.3.2數(shù)字測量原理1)門控計(jì)數(shù)法測量原理◆時(shí)間、頻率量的特點(diǎn)

頻率是在時(shí)間軸上無限延伸的,因此,對頻率量的測量需確定一個(gè)取樣時(shí)間T,在該時(shí)間內(nèi)對被測信號的周期累加計(jì)數(shù)(若計(jì)數(shù)值為N),根據(jù)fx=N/T得到頻率值。

為實(shí)現(xiàn)時(shí)間(這里指時(shí)間間隔)的數(shù)字化測量,需將被測時(shí)間按盡可能小的時(shí)間單位(稱為時(shí)標(biāo))進(jìn)行量化,通過累計(jì)被測時(shí)間內(nèi)所包含的時(shí)間單位數(shù)(計(jì)數(shù))得到。◆測量原理

將需累加計(jì)數(shù)的信號(頻率測量時(shí)為被測信號,時(shí)間測量時(shí)為時(shí)標(biāo)信號),由一個(gè)“閘門”(主門)控制,并由一個(gè)“門控”信號控制閘門的開啟(計(jì)數(shù)允許)與關(guān)閉(計(jì)數(shù)停止)。第十九頁,共九十三頁,編輯于2023年,星期六5.3.2數(shù)字測量原理

閘門可由一個(gè)與(或“或”)邏輯門電路實(shí)現(xiàn)。這種測量方法稱為門控計(jì)數(shù)法。其原理如下圖所示。 上圖為由“與”邏輯門作為閘門,其門控信號為‘1’時(shí)閘門開啟(允許計(jì)數(shù)),為‘0’時(shí)閘門關(guān)閉(停止計(jì)數(shù))?!魷y頻時(shí),閘門開啟時(shí)間(稱為“閘門時(shí)間”)即為采樣時(shí)間。

測時(shí)間(間隔)時(shí),閘門開啟時(shí)間即為被測時(shí)間。第二十頁,共九十三頁,編輯于2023年,星期六2)通用計(jì)數(shù)器的基本組成通用電子計(jì)數(shù)器的組成框圖如下圖所示:第二十一頁,共九十三頁,編輯于2023年,星期六2)通用計(jì)數(shù)器的基本組成通用計(jì)數(shù)器包括如下幾個(gè)部分輸入通道:通常有A、B、C多個(gè)通道,以實(shí)現(xiàn)不同的測量功能。輸入通道電路對輸入信號進(jìn)行放大、整形等(但保持頻率不變),得到適合計(jì)數(shù)的脈沖信號。 通過預(yù)定標(biāo)器(分頻器)還可擴(kuò)展頻率測量范圍。主門電路:完成計(jì)數(shù)的閘門控制作用。計(jì)數(shù)與顯示電路:計(jì)數(shù)電路是通用計(jì)數(shù)器的核心電路,完成脈沖計(jì)數(shù);顯示電路將計(jì)數(shù)結(jié)果(反映測量結(jié)果)以數(shù)字方式顯示出來。時(shí)基產(chǎn)生電路:產(chǎn)生機(jī)內(nèi)時(shí)間、頻率測量的基準(zhǔn),即時(shí)間測量的時(shí)標(biāo)和頻率測量的閘門信號。控制電路:控制協(xié)調(diào)整機(jī)工作,即準(zhǔn)備測量顯示。第二十二頁,共九十三頁,編輯于2023年,星期六5.4電子計(jì)數(shù)器的組成原理和測量功能5.4.1電子計(jì)數(shù)器的組成

1)A、B輸入通道

2)主門電路

3)計(jì)數(shù)與顯示電路

4)時(shí)基產(chǎn)生電路

5)控制電路5.4.2電子計(jì)數(shù)器的測量功能

1)頻率測量

2)頻率比測量

3)周期測量

4)時(shí)間間隔測量

5)自檢第二十三頁,共九十三頁,編輯于2023年,星期六放大整形電路閘門十進(jìn)制計(jì)數(shù)器顯示器晶振分頻器門控電路邏輯控制電路A輸入工作波形:放大整形電路:二極管限幅寬帶放大器整形施密特觸發(fā)器至主門T工作過程:寄存第二十四頁,共九十三頁,編輯于2023年,星期六1)A、B輸入通道◆作用:它們主要由放大/衰減、濾波、整形、觸發(fā)(包括出發(fā)電平調(diào)節(jié))等單元電路構(gòu)成。其作用是對輸入信號處理以產(chǎn)生符合計(jì)數(shù)要求(波形、幅度)的脈沖信號。

通過預(yù)定標(biāo)器(外插件)還可擴(kuò)展頻率測量范圍?!羲姑芴赜|發(fā)電路:利用斯密特觸發(fā)器的回差特性,對輸入信號具有較好的抗干擾作用。(遲滯比較特性)第二十五頁,共九十三頁,編輯于2023年,星期六1)A、B輸入通道通道組合可完成不同的測量功能:被計(jì)數(shù)的信號(常從A通道輸入)稱為計(jì)數(shù)端;控制閘門開啟的信號通道(常從B、C通道輸入)稱為控制端。從計(jì)數(shù)端輸入的信號有:被測信號(fx);內(nèi)部時(shí)標(biāo)信號等;從控制端輸入的信號有:閘門信號;被測信號(Tx)等;序號計(jì)數(shù)端信號控制端信號測試功能計(jì)數(shù)結(jié)果1內(nèi)時(shí)鐘(T0)內(nèi)時(shí)鐘(T)自檢N=T/T02被測信號(fx)內(nèi)時(shí)鐘(T)測量頻率(A)fx=N/T3內(nèi)時(shí)鐘(T0)被測周期(Tx)測量周期(B)Tx=NT04被測信號(fA)被測信號(fB)測量頻率比(A/B)fA/fB=N5內(nèi)時(shí)鐘(T0)被測信號相應(yīng)間隔tB-C測量時(shí)間間隔(A-B)tB-C=NT06外輸入(TA)被測信號相應(yīng)間隔tB-C測量外控時(shí)間間隔B-CtB-C=NTA7外待測信號(Nx)手控或遙控累加計(jì)數(shù)(A)Nx=N8內(nèi)時(shí)鐘(秒信號)手控或遙控計(jì)時(shí)N(秒)第二十六頁,共九十三頁,編輯于2023年,星期六2)主門電路◆功能:主門也稱為閘門,通過“門控信號”控制進(jìn)入計(jì)數(shù)器的脈沖,使計(jì)數(shù)器只對預(yù)定的“閘門時(shí)間”之內(nèi)的脈沖計(jì)數(shù)?!綦娐罚河伞芭c門”或“或門”構(gòu)成。其原理如下圖:◆由“與門”構(gòu)成的主門,其“門控信號”為‘1’時(shí),允許計(jì)數(shù)脈沖通過;由“或門”構(gòu)成的主門,其“門控信號”為‘0’時(shí),允許計(jì)數(shù)脈沖通過?!簟伴T控信號”還可手動操作得到,如實(shí)現(xiàn)手動累加計(jì)數(shù)。第二十七頁,共九十三頁,編輯于2023年,星期六3)計(jì)數(shù)與顯示電路◆功能:計(jì)數(shù)電路對通過主門的脈沖進(jìn)行計(jì)數(shù)(計(jì)數(shù)值代表了被測頻率或時(shí)間),并通過數(shù)碼顯示器將測量結(jié)果直觀地顯示出來。 為了便于觀察和讀數(shù),通常使用十進(jìn)制計(jì)數(shù)電路。◆計(jì)數(shù)電路的重要指標(biāo):最高計(jì)數(shù)頻率。 計(jì)數(shù)電路一般由多級雙穩(wěn)態(tài)電路構(gòu)成,受內(nèi)部狀態(tài)翻轉(zhuǎn)的時(shí)間限制,使計(jì)數(shù)電路存在最高計(jì)數(shù)頻率的限制。而且對多位計(jì)數(shù)器,最高計(jì)數(shù)頻率主要由個(gè)位計(jì)數(shù)器決定?!舨煌娐肪哂胁煌墓ぷ魉俣龋喝?4LS(74HC)系列為30~40MHz;74S系列為100MHz;CMOS電路約5MHz;ECL電路可達(dá)600MHz。第二十八頁,共九十三頁,編輯于2023年,星期六3)計(jì)數(shù)與顯示電路類型:單片集成與可編程計(jì)數(shù)器單片集成的中小規(guī)模IC如:74LS90(MC11C90)十進(jìn)制計(jì)數(shù)器;74LS390、CD4018(MC14018)為雙十進(jìn)制計(jì)數(shù)器。可編程計(jì)數(shù)器IC如:Intel8253/8254等。顯示器LED、LCD、熒光(VFD)等。顯示電路:包括鎖存、譯碼、驅(qū)動電路。如74LS47、CD4511等。專用計(jì)數(shù)與顯示單元電路:如ICM7216D。第二十九頁,共九十三頁,編輯于2023年,星期六4)時(shí)基產(chǎn)生電路◆功能:產(chǎn)生測頻時(shí)的“門控信號”(多檔閘門時(shí)間可選)及時(shí)間測量時(shí)的“時(shí)標(biāo)”信號(多檔可選)?!魧?shí)現(xiàn):由內(nèi)部晶體振蕩器(也可外接),通過倍頻或分頻得到。再通過門控雙穩(wěn)態(tài)觸發(fā)器得到“門控信號”。

如,若fc=1MHz,經(jīng)

106分頻后,可得到

fs=1Hz(周期Ts=1s)

的時(shí)基信號,經(jīng)過 門控雙穩(wěn)態(tài)電路得 到寬度為Ts=1s的 門控信號。第三十頁,共九十三頁,編輯于2023年,星期六4)時(shí)基產(chǎn)生電路◆要求:標(biāo)準(zhǔn)性:“門控信號”和“時(shí)標(biāo)”作為計(jì)數(shù)器頻率和時(shí)間測量的本地工作基準(zhǔn),應(yīng)當(dāng)具有高穩(wěn)定度和高準(zhǔn)確度。多值性:為了適應(yīng)計(jì)數(shù)器較寬的測量范圍,要求“閘門時(shí)間”和“時(shí)標(biāo)”可多檔選擇。常用“閘門時(shí)間”有:1ms、10ms、100ms、1s、10s。常用的“時(shí)標(biāo)”有:10ns、100ns、1us、10us、100us、1ms。第三十一頁,共九十三頁,編輯于2023年,星期六5)控制電路◆功能:產(chǎn)生各種控制信號,控制、協(xié)調(diào)各電路單元的工作,使整機(jī)按“復(fù)零-測量-顯示”的工作程序完成自動測量的任務(wù)。如下圖所示:準(zhǔn)備期(復(fù)零,等待)

測量期(開門,計(jì)數(shù))

顯示期(關(guān)門,停止計(jì)數(shù))第三十二頁,共九十三頁,編輯于2023年,星期六5.4.2電子計(jì)數(shù)器的測量功能1)頻率測量

◆原理:計(jì)數(shù)器嚴(yán)格按照的定義實(shí)現(xiàn)頻率測量。 根據(jù)上式的頻率定義,T為采樣時(shí)間,N為T內(nèi)的周期數(shù)。采樣時(shí)間T預(yù)先由閘門時(shí)間Ts確定(時(shí)基頻率為fs)。則或 該式表明,在數(shù)字化頻率測量中,可用計(jì)數(shù)值N表示fx。它體現(xiàn)了數(shù)字化頻率測量的比較法測量原理?!衾纾洪l門時(shí)間Ts=1s,若計(jì)數(shù)值N=10000,則顯示的fx為“10000”Hz,或“10.000”kHz。如閘門時(shí)間Ts=0.1s,則計(jì)數(shù)值N=1000,則顯示的fx為“10.00”kHz。請注意:顯示結(jié)果的有效數(shù)字末位的意義,它表示了頻率測量的分辨力(應(yīng)等于時(shí)基頻率fs)。第三十三頁,共九十三頁,編輯于2023年,星期六1)頻率測量原理框圖和工作波形圖(fx由A通道輸入,內(nèi)部時(shí)基)為便于測量和顯示,計(jì)數(shù)器通常為十進(jìn)制計(jì)數(shù)器,多檔閘門時(shí)間設(shè)定為10的冪次方,這樣可直接顯示計(jì)數(shù)結(jié)果,并通過移動小數(shù)點(diǎn)和單位的配合,就可自動得到被測頻率。測量速度與分辨力:閘門時(shí)間Ts為頻率測量的采樣時(shí)間,Ts愈大,則測量時(shí)間愈長,但計(jì)數(shù)值N愈大,分辨力愈高。TB放大、整形閘門門控電路計(jì)數(shù)顯示Afx分頻電路時(shí)基Ts第三十四頁,共九十三頁,編輯于2023年,星期六5.4.2電子計(jì)數(shù)器的測量功能2)頻率比的測量◆原理:實(shí)際上,前述頻率測量的比較測量原理就是一種頻率比的測量:fx對fs的頻率比。 據(jù)此,若要測量fA對fB的頻率比(假設(shè)fA>fB),只要用fB的周期TB作為閘門,在TB時(shí)間內(nèi)對fA作周期計(jì)數(shù)即可?!舴椒ǎ篺A對fB分別由A、B兩通道輸入,如下圖。

第三十五頁,共九十三頁,編輯于2023年,星期六◆注意:頻率較高者由A通道輸入,頻率較低者由B通道輸入?!籼岣哳l率比的測量精度: 擴(kuò)展B通道信號的周期個(gè)數(shù)。

例如:以B通道信號的10個(gè)周期作為閘門信號,則計(jì)數(shù)值為:,即計(jì)數(shù)值擴(kuò)大了10倍,相應(yīng)的測量精度也就提高了10倍。為得到真實(shí)結(jié)果,需將計(jì)數(shù)值N縮小10倍(小數(shù)點(diǎn)左移1位),即◆應(yīng)用:可方便地測得電路的分頻或倍頻系數(shù)。2)頻率比的測量第三十六頁,共九十三頁,編輯于2023年,星期六3)周期的測量◆原理:“時(shí)標(biāo)計(jì)數(shù)法”周期測量。 對被測周期Tx,用已知的較小單位時(shí)間刻度T0(“時(shí)標(biāo)”)去量化,由Tx所包含的“時(shí)標(biāo)”數(shù)N即可得到Tx。即 該式表明,“時(shí)標(biāo)”的計(jì)數(shù)值N可表示周期Tx。也體現(xiàn)了時(shí)間間隔(周期)的比較測量原理。◆實(shí)現(xiàn):由Tx得到閘門;在Tx內(nèi)計(jì)數(shù)器對時(shí)標(biāo)計(jì)數(shù)。

——Tx由B通道輸入,內(nèi)部時(shí)標(biāo)信號由A通道輸入(A通道外部輸入斷開)。5.4.2電子計(jì)數(shù)器的測量功能第三十七頁,共九十三頁,編輯于2023年,星期六◆原理框圖:◆例如:時(shí)標(biāo)T0=1us,若計(jì)數(shù)值N=10000,則顯示的Tx為“10000”us,或“10.000”ms。如時(shí)標(biāo)T0=10us,則計(jì)數(shù)值N=1000,顯示的Tx為“10.00”ms。請注意:顯示結(jié)果的有效數(shù)字末位的意義,它表示了周期測量的分辨力(應(yīng)等于時(shí)標(biāo)T0

)。為便于顯示,多檔時(shí)標(biāo)設(shè)定為10的冪次方?!魷y量速度與分辨力:一次測量時(shí)間即為一個(gè)周期Tx,Tx愈大(頻率愈低)則測量時(shí)間愈長;計(jì)數(shù)值N與時(shí)標(biāo)有關(guān),時(shí)標(biāo)愈小分辨力愈高。3)周期的測量第三十八頁,共九十三頁,編輯于2023年,星期六4)時(shí)間間隔的測量◆時(shí)間間隔:指兩個(gè)時(shí)刻點(diǎn)之間的時(shí)間段。在測量技術(shù)中,兩個(gè)時(shí)刻點(diǎn)通常由兩個(gè)事件確定。如,一個(gè)周期信號的兩個(gè)同相位點(diǎn)(如過零點(diǎn))所確定的時(shí)間間隔即為周期?!魞蓚€(gè)事件的例子及測量參數(shù)還有:

同一信號波形上兩個(gè)不同點(diǎn)之間脈沖信號參數(shù); 兩個(gè)信號波形上,兩點(diǎn)之間相位差的測量; 手動觸發(fā)定時(shí)、累加計(jì)數(shù)。◆

測量方法:由兩個(gè)事件觸發(fā)得到起始信號和終止信號,經(jīng)過門控雙穩(wěn)態(tài)電路得到“門控信號”,門控時(shí)間即為被測的時(shí)間間隔。在門控時(shí)間內(nèi),仍采用“時(shí)標(biāo)計(jì)數(shù)”方法測量(即所測時(shí)間間隔由“時(shí)標(biāo)”量化)。 5.4.2電子計(jì)數(shù)器的測量功能第三十九頁,共九十三頁,編輯于2023年,星期六4)時(shí)間間隔的測量原理框圖 欲測量時(shí)間間隔的起始、終止信號分別由B、C通道輸入。時(shí)標(biāo)由機(jī)內(nèi)提供。如下圖。第四十頁,共九十三頁,編輯于2023年,星期六◆

觸發(fā)極性選擇和觸發(fā)電平調(diào)節(jié):為增加測量的靈活性,B、C輸入通道都設(shè)置有觸發(fā)極性(+、-)和觸發(fā)電平調(diào)節(jié),以完成各種時(shí)間間隔的測量。如下圖的脈沖參數(shù)測量。VBVc起始停止開門時(shí)間C+(50%)B+(50%)起始停止開門時(shí)間VBVcB+(50%)C-(50%)(50%)-B+(50%)

C+(50%)

-(50%)

C+(90%)閘門信號關(guān)門信號開門信號B+(10%)4)時(shí)間間隔的測量第四十一頁,共九十三頁,編輯于2023年,星期六4)時(shí)間間隔的測量相位差的測量利用時(shí)間間隔的測量,可以測量兩個(gè)同頻率的信號之間的相位差。兩個(gè)信號分別由B、C通道輸入,并選擇相同的觸發(fā)極性和觸發(fā)電平。測量原理如下圖:為減小測量誤差,分別取

+、-觸發(fā)極性作兩次測量, 得到t1、t2再取平均,則第四十二頁,共九十三頁,編輯于2023年,星期六5.4.2電子計(jì)數(shù)器的測量功能5)自檢(自校)◆功能:檢驗(yàn)儀器內(nèi)部電路及邏輯關(guān)系是否正常?!魧?shí)現(xiàn)方法:為判斷自檢結(jié)果是否正確,該結(jié)果應(yīng)該在自檢實(shí)施前即是已知的。為此,用機(jī)內(nèi)的時(shí)基Ts(閘門信號)對時(shí)標(biāo)T0計(jì)數(shù),則計(jì)數(shù)結(jié)果應(yīng)為:◆自檢的方框圖:◆例如:若選擇Ts=10ms, T0=1us,則自檢顯示應(yīng) 穩(wěn)定在N=10000?!糇詸z不能檢測內(nèi)部基準(zhǔn)源。放大、整形晶振放大、整形閘門計(jì)數(shù)器顯示門控電路分頻電路T0Tx第四十三頁,共九十三頁,編輯于2023年,星期六5.5電子計(jì)數(shù)器的測量誤差5.5.1測量誤差的來源

1)量化誤差;2)觸發(fā)誤差;3)標(biāo)準(zhǔn)頻率誤差5.5.2頻率測量的誤差分析

1)誤差表達(dá)式;2)量化誤差的影響;

3)實(shí)例分析5.5.3周期測量的誤差分析

1)誤差表達(dá)式;2)量化誤差的影響;

3)中界頻率;4)觸發(fā)誤差 第四十四頁,共九十三頁,編輯于2023年,星期六5.5.1測量誤差的來源1)量化誤差◆什么是量化誤差:由前述頻率測量fx=N/Ts=Nfs和周期測量Tx=NT0,可見,由于計(jì)數(shù)值N為整數(shù),fx和Tx必然產(chǎn)生“截?cái)嗾`差”,該誤差即為“量化誤差”。也稱為“±1誤差”,它是所有數(shù)字化儀器都存在的誤差?!舢a(chǎn)生原因:量化誤差并非由于計(jì)數(shù)值N的不準(zhǔn)確(也并非標(biāo)準(zhǔn)頻率源fs或時(shí)標(biāo)T0的不準(zhǔn)確)造成。而是由于閘門開啟和關(guān)閉的時(shí)間與被測信號不同步引起(亦即開門和關(guān)門時(shí)刻與被測信號出現(xiàn)的時(shí)刻是隨機(jī)的),使得在閘門開始和結(jié)束時(shí)刻有一部分時(shí)間零頭沒有被計(jì)算在內(nèi)而造成的測量誤差?!粝聢D為頻率測量時(shí)量化誤差的示意圖。第四十五頁,共九十三頁,編輯于2023年,星期六1)量化誤差如圖,對同一被測信號,在相同的閘門時(shí)間內(nèi),計(jì)數(shù)結(jié)果不同。根據(jù)頻率定義,準(zhǔn)確的fx應(yīng)為 式中, 即,或 因此,量化誤差的影響相當(dāng)于計(jì)數(shù)值N的“±”個(gè)字?!羰请S機(jī)的,它們服從均勻分布,其差值 則服從三角分布。第四十六頁,共九十三頁,編輯于2023年,星期六5.5.1測量誤差的來源2)觸發(fā)誤差◆什么是觸發(fā)誤差:輸入信號都需經(jīng)過通道電路放大、整形等,得到脈沖信號,即輸入信號(轉(zhuǎn)換為)脈沖信號。 這種轉(zhuǎn)換要求只對信號幅值和波形變換,不能改變其頻率。但是,若輸入被測信號疊加有干擾信號,則信號的頻率(周期)及相對閘門信號的觸發(fā)點(diǎn)就可能變化。由此產(chǎn)生的測量誤差稱為“觸發(fā)誤差”,也稱為“轉(zhuǎn)換誤差”?!羧鐖D。周期為Tx的輸 入信號,觸發(fā)電平在

A1點(diǎn),但在A1’點(diǎn)上有 干擾信號(幅度Vn)。

提前觸發(fā),周期TxTx’。第四十七頁,共九十三頁,編輯于2023年,星期六5.5.1測量誤差的來源3)標(biāo)準(zhǔn)頻率誤差 機(jī)內(nèi)時(shí)基(閘門時(shí)間)和時(shí)標(biāo)是頻率和時(shí)間間隔測量的參考基準(zhǔn),它們由內(nèi)部晶體振蕩器(標(biāo)準(zhǔn)頻率源)分頻或倍頻后產(chǎn)生。因此,其準(zhǔn)確度和測量時(shí)間之內(nèi)的短期穩(wěn)定度將直接影響測量結(jié)果。

通常,要求標(biāo)準(zhǔn)頻率誤差小于測量誤差的一個(gè)數(shù)量級。 因此,內(nèi)部晶振要求較高穩(wěn)定性。若不能滿足測量要求,還可外接更高準(zhǔn)確度的外部基準(zhǔn)源。第四十八頁,共九十三頁,編輯于2023年,星期六5.5.2頻率測量的誤差分析1)誤差表達(dá)式◆由頻率測量表達(dá)式:fx=N/Ts=Nfs,計(jì)數(shù)器直接測頻的誤差主要由兩項(xiàng)組成:即量化誤差(±1誤差)和標(biāo)準(zhǔn)頻率誤差??傉`差采用分項(xiàng)誤差絕對值合成,即:

式中,

即為±1誤差,其最大值為,而 由于fs由晶振(fc)分頻得到,設(shè)fs=fc/k,則 于是,頻率測量的誤差表達(dá)式可寫成:第四十九頁,共九十三頁,編輯于2023年,星期六1)誤差表達(dá)式誤差曲線分析:誤差曲線直觀地表示了測頻誤差與被測頻率fx和閘門時(shí)間Ts的關(guān)系。fx愈大則誤差愈小,閘門時(shí)間愈大誤差也愈小,并且,測頻誤差以標(biāo)準(zhǔn)頻率誤差為極限。第五十頁,共九十三頁,編輯于2023年,星期六5.5.2頻率測量的誤差分析2)量化誤差的影響◆從頻率測量的誤差表達(dá)式: 可知,量化誤差為 它是頻率測量的主要誤差(標(biāo)準(zhǔn)頻率誤差一般可忽略)。

為減小量化誤差,需增大計(jì)數(shù)值N:增大閘門時(shí)間Ts或在相同的閘門時(shí)間內(nèi)測量較高的頻率可得到較大的N?!舻枳⒁猓涸龃箝l門時(shí)間將降低測量速度,并且計(jì)數(shù)值的增加不應(yīng)超過計(jì)數(shù)器的計(jì)數(shù)容量,否則將產(chǎn)生溢出(高位無法顯示)。

例如:一個(gè)6位的計(jì)數(shù)器,最大顯示為999999,當(dāng)用Ts=10s的閘門測量fx=1MHz時(shí),應(yīng)顯示“1000000.0”Hz或1.0000000”MHz,顯然溢出。第五十一頁,共九十三頁,編輯于2023年,星期六5.5.2頻率測量的誤差分析3)實(shí)例分析[例]被測頻率fx=1MHz,選擇閘門時(shí)間Ts=1s,則由±1誤差產(chǎn)生的測頻誤差(不考慮標(biāo)準(zhǔn)頻率誤差)為:

若Ts增加為10s,則計(jì)數(shù)值增加10倍,相應(yīng)的測頻誤差也降低10倍,為±1×10-7,但測量時(shí)間將延長10倍。注意:該例中,當(dāng)選擇閘門時(shí)間Ts=1s時(shí),要求標(biāo)準(zhǔn)頻率誤差優(yōu)于±1×10-7(即比量化誤差低一個(gè)數(shù)量級),否則,標(biāo)準(zhǔn)頻率誤差在總測量誤差中不能忽略。第五十二頁,共九十三頁,編輯于2023年,星期六5.5.3周期測量的誤差分析1)誤差表達(dá)式◆由測周的基本表達(dá)式:

根據(jù)誤差合成公式,可得:

式中,和分別為量化誤差和時(shí)標(biāo)周期誤差。由(Tc為晶振周期,k為倍頻或分頻比),有:(?)而計(jì)數(shù)值N為:

所以,第五十三頁,共九十三頁,編輯于2023年,星期六5.5.3周期測量的誤差分析2)量化誤差的影響◆由測周的誤差表達(dá)式: 其中,第一項(xiàng)即為量化誤差。它表示Tx愈大(被測信號的頻率愈低),則量化誤差愈小,其意義為Tx愈大則計(jì)入的時(shí)標(biāo)周期數(shù)N愈大。另外,晶振的分頻系數(shù)k愈小,則時(shí)標(biāo)周期愈小,在相同的Tx內(nèi)計(jì)數(shù)值愈大。 此外,第二項(xiàng)為標(biāo)準(zhǔn)頻率誤差,通常也要求小于測量誤差的一個(gè)數(shù)量級,這時(shí)就可作為微小誤差不予考慮?!魹闇p小量化誤差,應(yīng)增加計(jì)數(shù)值N,但也需注意不可使其溢出。

例如:一個(gè)6位的計(jì)數(shù)器,最大顯示為999999,當(dāng)用T0=1us的時(shí)標(biāo)測量Tx=10s(fx=0.1Hz)時(shí),應(yīng)顯示“10000000”us或“10.000000”s,顯然溢出。第五十四頁,共九十三頁,編輯于2023年,星期六5.5.3周期測量的誤差分析3)中界頻率◆測頻時(shí),被測頻率fx愈低,則量化誤差愈大;測周時(shí),被測頻率fx愈高,則量化誤差愈大。 可見,在測頻與測周之間,存在一個(gè)中界頻率fm, 當(dāng)fx>fm時(shí),應(yīng)采用測頻;當(dāng)fx<fm時(shí),應(yīng)采用測周方案?!糁薪珙l率fm的確定 量化誤差取決于計(jì)數(shù)值N,測頻時(shí);測周時(shí)。 令兩式相等,并用Tm表示Tx: 于是,有:或例:若Ts=1s,T0=1us,則fm=1kHz,在該頻率上,測頻與測周的量化誤差相等。第五十五頁,共九十三頁,編輯于2023年,星期六5.5.3周期測量的誤差分析4)觸發(fā)誤差◆頻率測量時(shí)觸發(fā)誤差的影響 ●尖峰脈沖的干擾 如圖,尖峰脈沖只 引起觸發(fā)點(diǎn)的改變, 對測頻影響不大。

●高頻疊加干擾

如圖,產(chǎn)生錯(cuò)誤計(jì)數(shù)。 ●措施

增大觸發(fā)窗或減小信號幅度;

輸入濾波。

第五十六頁,共九十三頁,編輯于2023年,星期六◆周期測量時(shí)觸發(fā)誤差的影響●尖峰脈沖

周期測量時(shí),尖峰脈沖的干擾對測量結(jié)果的影響非常嚴(yán)重。如圖,測量誤差為:●分析

設(shè)輸入為正弦波:,干擾幅度為Vn。對觸發(fā)點(diǎn)A1作切線ab,其斜率為

則,

可見,愈大,即觸發(fā)點(diǎn)愈陡峭,誤差愈小。4)觸發(fā)誤差第五十七頁,共九十三頁,編輯于2023年,星期六4)觸發(fā)誤差進(jìn)一步推導(dǎo)觸發(fā)點(diǎn)的斜率,如下:實(shí)際中,對正弦輸入信號,常選擇過零點(diǎn)為觸發(fā)點(diǎn)(具有最陡峭的斜率),則觸發(fā)點(diǎn)電壓VB滿足:于是,有:若考慮在一個(gè)周期開始和結(jié)束時(shí)可能都存在觸發(fā)誤差,分別用表示,并按隨機(jī)誤差的均方根合成,得到:●結(jié)論:測周時(shí)為減小觸發(fā)誤差,應(yīng)提高信噪比。第五十八頁,共九十三頁,編輯于2023年,星期六5.6.1多周期同步測量技術(shù)

1)倒數(shù)計(jì)數(shù)器;

2)多周期同步法5.6.2模擬內(nèi)插法

1)內(nèi)插法原理;

2)時(shí)間擴(kuò)展電路5.6.3游標(biāo)法5.6高分辨時(shí)間和頻率測量技術(shù)第五十九頁,共九十三頁,編輯于2023年,星期六5.6.1多周期同步測量技術(shù)1)多周期同步法◆多周期同步測頻測頻時(shí)量化誤差是由于閘門與被測信號的非同步引起的。為減小量化誤差,必須使閘門時(shí)間等于被測信號整周期數(shù)。 ●設(shè)計(jì)原理

采用預(yù)置閘門,用fx對預(yù)置閘門同步,在實(shí)際的同步閘門時(shí)間內(nèi)同時(shí)對fx計(jì)數(shù)得被測信號整周期計(jì)數(shù)得Nx

。為確定同步閘門時(shí)間,用另一計(jì)數(shù)器對標(biāo)準(zhǔn)頻率f0計(jì)數(shù)得N0。第六十頁,共九十三頁,編輯于2023年,星期六2)多周期同步法●工作波形如圖,同步閘門時(shí)間T’s由N0T0確定,則:●誤差:Nx無±1誤差,N0存在±1誤差,但一般N0較大,±1/N0較小?!駥?shí)現(xiàn):基于微處理器,控制預(yù)置閘門(軟件發(fā)出),計(jì)算頻率結(jié)果??蓪?shí)現(xiàn)不同閘門時(shí)間內(nèi)的等精度測量。第六十一頁,共九十三頁,編輯于2023年,星期六2)多周期同步法◆多周期測周基本測周模式下,閘門時(shí)間由單個(gè)周期確定。在干擾信號下,被測信號周期的觸發(fā)前后存在的觸發(fā)誤差(轉(zhuǎn)換誤差)?!裨恚簽榻档蛯蝹€(gè)周期測量的影響,利用的隨機(jī)性,可由多個(gè)周期構(gòu)成閘門時(shí)間,使相鄰周期的相互抵消。如下圖。 例如:由10個(gè)周期構(gòu)成閘門時(shí)間測量,觸發(fā)誤差降為1/10。同時(shí),由于計(jì)數(shù)值也增大了10倍,則±1誤差也減小為1/10。 電子計(jì)數(shù)器面板上的“周期倍乘”可選擇周期數(shù),通常有:×1、×10、×100、×1000等多檔選擇。第六十二頁,共九十三頁,編輯于2023年,星期六10T’x△T1△T210TxTx1Tx10△T2TxA’1A1VnA’2A2A’9A9A’10A102)多周期同步法●誤差表達(dá)式:式中,m為周期倍乘數(shù)。第六十三頁,共九十三頁,編輯于2023年,星期六5.6.2模擬內(nèi)插法一般時(shí)間間隔測量的局限性: 為減小量化誤差,需減小時(shí)標(biāo)以增大計(jì)數(shù)值,但時(shí)標(biāo)的減小受時(shí)基電路和計(jì)數(shù)器最高工作頻率限制,而計(jì)數(shù)器也有最大計(jì)數(shù)容量的限制(最大計(jì)數(shù)值)。

內(nèi)插法對已存在的量化誤差,測量出量化單位以下的尾數(shù)(零頭時(shí)間)。如下圖所示, 則準(zhǔn)確的Tx為:

Tx=T0+T1-T2為實(shí)現(xiàn)T1-T2的測量,有模擬和數(shù)字兩種方法。第六十四頁,共九十三頁,編輯于2023年,星期六5.6.2模擬內(nèi)插法1)模擬內(nèi)插法原理由于T1和T2均很小(小于時(shí)標(biāo)),采用普通的“時(shí)標(biāo)計(jì)數(shù)法”難以實(shí)現(xiàn)(需要非常小的時(shí)標(biāo))。其實(shí)現(xiàn)的基本思路是:對T1和T2作時(shí)間擴(kuò)展(放大)后測量。三次測量 若T1、T2均擴(kuò)展k倍, 采用同一個(gè)時(shí)標(biāo)(設(shè)為)分別測量T0、kT1、kT2,設(shè)計(jì)數(shù)值分別為:N0、N1、N2, 則:意義:上式由于不存在量化誤差,總量化誤差由(N1-N2)引起,降低了k倍。相當(dāng)于用時(shí)標(biāo)的普通時(shí)間測量。第六十五頁,共九十三頁,編輯于2023年,星期六5.6.2模擬內(nèi)插法2)時(shí)間擴(kuò)展電路◆時(shí)間擴(kuò)展電路 如下圖所示:◆工作原理 以恒流源對電容器C充電,設(shè)充電時(shí)間為T1,而以(k-1)T1(可近似為kT1)時(shí)間緩慢放電,當(dāng)放電到原電平時(shí),所經(jīng)歷的時(shí)間為:T1’=T1+(k-1)T1=kT1,即得到T1的k倍時(shí)間擴(kuò)展。在kT1時(shí)間內(nèi)對時(shí)標(biāo)計(jì)數(shù)。第六十六頁,共九十三頁,編輯于2023年,星期六◆例如,擴(kuò)展器控制的開門時(shí)間為T1的1000倍(k取999),即: T’1=T1+999T1=1000T1在T’1時(shí)間內(nèi)對時(shí)標(biāo)計(jì)數(shù)得N1,則類似地: T’2=T2+999T2=1000T2在T’2時(shí)間內(nèi)對時(shí)標(biāo)計(jì)數(shù)得N2,則于是:內(nèi)插后測量分辨力提高了1000倍?!粜?zhǔn)技術(shù)內(nèi)插擴(kuò)展技術(shù)可大大提高測時(shí)分辨力,但測量前需進(jìn)行校準(zhǔn)。5.6.2模擬內(nèi)插法第六十七頁,共九十三頁,編輯于2023年,星期六5.6.3游標(biāo)法1)游標(biāo)法的原理◆數(shù)字式游標(biāo)法實(shí)現(xiàn)的原理和游標(biāo)卡尺的原理相似,是利用相差很微小的兩個(gè)量,對其量化單位以下的差值進(jìn)行多次的疊加,直到疊加的值達(dá)到一個(gè)量化單位為止,通過相關(guān)的計(jì)算便可以獲得較精確的差值。 ◆設(shè)主時(shí)鐘頻率F01=1/T01和游標(biāo)時(shí)鐘F02=1/T02。F01>F02(T01<T02)且F01和F02非常接近。即差值ΔT0=T02-T01很小。 如T01=10ns,T02=11ns,則ΔT0=T02-T01=1ns?!綦p游標(biāo)法的工作原理 如下圖。第六十八頁,共九十三頁,編輯于2023年,星期六5.6.3游標(biāo)法◆如圖,設(shè)開門與關(guān)門時(shí)的兩個(gè)“零頭時(shí)間”為,開門后同時(shí)啟動主計(jì)數(shù)器和游標(biāo)脈沖1計(jì)數(shù),由于T02>T01,設(shè)經(jīng)過N1個(gè)計(jì)數(shù)值后,游標(biāo)脈沖與主脈沖重合(圖中符合點(diǎn)1)。此時(shí):即:第六十九頁,共九十三頁,編輯于2023年,星期六5.6.3游標(biāo)法同樣,在關(guān)門時(shí)(主時(shí)鐘計(jì)數(shù)停止)啟動游標(biāo)脈沖2開始計(jì)數(shù),由于T02>T01,設(shè)經(jīng)過N2個(gè)計(jì)數(shù)值后,游標(biāo)脈沖與主脈沖重合(圖中符合點(diǎn)2)。此時(shí),有:則,被測時(shí)間間隔為:定義擴(kuò)展系數(shù)K, 則游標(biāo)時(shí)鐘周期用K可表示為: 而 于是,被測時(shí)間間隔可寫成: 可見,數(shù)字游標(biāo)法將測時(shí)分辨力由T01提高到了T01/K。第七十頁,共九十三頁,編輯于2023年,星期六5.7微波頻率測量技術(shù)5.7.1變頻法

1)變頻法原理

2)組成框圖5.7.2置換法

1)置換法原理

2)組成框圖

第七十一頁,共九十三頁,編輯于2023年,星期六5.7微波頻率測量技術(shù)

通用電子計(jì)數(shù)器受內(nèi)部計(jì)數(shù)器等電路的工作速度的限制,對輸入信號直接計(jì)數(shù)存在最高計(jì)數(shù)頻率的限制。 中速計(jì)數(shù)器采用“預(yù)定標(biāo)器”(由ECL電路構(gòu)成的分頻器),將輸入信號進(jìn)行分頻后,再由計(jì)數(shù)器計(jì)數(shù)。 對于幾十GHz的微波計(jì)數(shù)器,主要采用變頻法和置換法將輸入微波頻率信號變換成可直接計(jì)數(shù)的中頻。5.7.1變頻法1)變頻法原理 變頻法(或稱外差法)是將被測微波信號經(jīng)差頻變換成頻率較低的中頻信號,再由電子計(jì)數(shù)器計(jì)數(shù)?!糇冾l法的原理框圖如下。

第七十二頁,共九十三頁,編輯于2023年,星期六

電子計(jì)數(shù)器主機(jī)內(nèi)送出的標(biāo)準(zhǔn)頻率fs,經(jīng)過諧波發(fā)生器產(chǎn)生高次諧波,再由諧波濾波器選出所需的諧波分量Nfs,它與被測信號fx混頻出差頻fI。若由電子計(jì)數(shù)器測出fI,則被測頻率fx為

:為適應(yīng)fx的變化,諧波濾波器應(yīng)能夠選出合適的諧波分量Nfs。混頻器差頻放大器電子計(jì)數(shù)器諧波濾波器諧波發(fā)生器輸入fxfIfs5.7.1變頻法第七十三頁,共九十三頁,編輯于2023年,星期六5.7.1變頻法2)組成框圖自動變頻式微波計(jì)數(shù)器的原理方框圖如下圖所示。

混頻器差頻放大器電子計(jì)數(shù)器諧波濾波器(YIG電調(diào)濾波器)諧波發(fā)生器(階躍恢復(fù)二極管)輸入fxfI輸入fs輸出Nfs掃描捕獲電路檢波器fI

(=fx-Nfs)第七十四頁,共九十三頁,編輯于2023年,星期六5.7.1變頻法工作原理諧波發(fā)生器:輸入為計(jì)數(shù)器標(biāo)準(zhǔn)頻率信號fs。采用階躍恢復(fù)二極管,以產(chǎn)生豐富的諧波Nfs。諧波濾波器:采用YIG(單晶鐵氧體材料)電調(diào)諧濾波器,其諧振頻率可在很寬范圍實(shí)現(xiàn)電調(diào)。掃描捕獲電路:產(chǎn)生階梯波電流,控制YIG的外加磁場,使YIG的諧振頻率從低到高步進(jìn)式地改變,從而可逐次選出不同的各次諧波。差頻放大器、檢波器:當(dāng)諧波濾波器輸出的某次諧波Nfs與待測頻率fx的差頻fI(=fx-Nfs)落在差頻放大器的帶寬(1~101MHz)范圍內(nèi)時(shí),fI經(jīng)放大、檢波后輸出一直流電壓,使掃描捕獲電路停止掃描,因而YIG固定地調(diào)諧在N次諧波上。第七十五頁,共九十三頁,編輯于2023年,星期六5.7.1變頻法微波計(jì)數(shù)器的顯示當(dāng)YIG調(diào)諧成功(選擇的諧波分量Nfs被確定)后,控制電路直接將Nfs在高位上顯示。而fI=fx-Nfs則由計(jì)數(shù)器計(jì)數(shù)并顯示在Nfs位之后。 這樣,便得到fx=Nfs+fI。例如:若fx=1234.567890MHz,標(biāo)準(zhǔn)頻率fs=100MHz。 則YIG應(yīng)調(diào)諧在N=12次諧波上,即Nfs=1200MHz,高位直接顯示“12”。 計(jì)數(shù)器再對差頻信號fI=fx-Nfs=34.567890MHz計(jì)數(shù), 最后顯示為“12

34.567890”MHz。變頻法特點(diǎn):諧波Nfs幅度低,靈敏度低,但分辨力高。第七十六頁,共九十三頁,編輯于2023年,星期六5.7.2置換法1)置換法原理利用一個(gè)頻率較低的置換振蕩器的N次諧波,與被測微波頻率fx進(jìn)行分頻式鎖相,從而把fx轉(zhuǎn)換到較低的頻率fL(通常為100MHz以下)。原理框圖如下:當(dāng)環(huán)路鎖定時(shí),有:

式中,fs為已知的標(biāo)準(zhǔn)頻率,計(jì)數(shù)器直接對fL計(jì)數(shù),但為得到fx,還需確定N值。混頻器壓控振蕩器電子計(jì)數(shù)器鑒相器fx-NfLfsfLNfL第七十七頁,共九十三頁,編輯于2023年,星期六5.7.2置換法2)組成方框圖全自動置換法微波計(jì)數(shù)器的方框圖如下圖所示。

第七十八頁,共九十三頁,編輯于2023年,星期六5.7.2置換法工作原理主通道:fx與fL的N次諧波NfL經(jīng)混頻器A,由差頻放大器取出fI=fx-NfL,當(dāng)環(huán)路鎖定時(shí):fI=fx-NfL=fs。即有:fx=NfL+fs。fL由計(jì)數(shù)器直接計(jì)數(shù)。輔助通道:用于確定N。fL與標(biāo)準(zhǔn)頻率發(fā)生器(F0=1kHz)經(jīng)混頻器C得到差頻:fL-F0,其N次諧波與fx經(jīng)混頻器B,由差頻放大器取出f’I=fx-N(fL-F0)=fs-NF0。再經(jīng)過混頻器D得到NF0

,它與F0經(jīng)“與門”后得到N。時(shí)基擴(kuò)展器:為得到NfL的計(jì)數(shù)值,將閘門時(shí)間擴(kuò)展N倍后對fL計(jì)數(shù),其計(jì)數(shù)值相當(dāng)于原閘門內(nèi)對NfL計(jì)數(shù)。fx的顯示:由fx=NfL+fs,將fs預(yù)置后與NfL計(jì)數(shù)值顯示。置換法特點(diǎn):鎖相環(huán)路增益高,靈敏度高,分辨力較差。第七十九頁,共九十三頁,編輯于2023年,星期六5.8時(shí)頻測量技術(shù)5.8.1調(diào)制域測量

1)調(diào)制域測量

2)調(diào)制域測量的意義5.8.2時(shí)頻測量原理

1)瞬時(shí)頻率測量原理

2)無間隔計(jì)數(shù)器的實(shí)現(xiàn)3)提高測量速度與分辨力的方法4)調(diào)制域分析的應(yīng)用5)發(fā)展動態(tài)第八十頁,共九十三頁,編輯于2023年,星期六5.8.1調(diào)制域測量1)調(diào)制域測量◆時(shí)域與頻域分析的局限性 一個(gè)實(shí)際的信號可以從時(shí)域和頻域進(jìn)行描述和分析,時(shí)域分析可以了解信號波形(幅值)隨時(shí)間的直觀變化;頻域分析則可以了解信號中所含頻譜分量,但是,卻不能把握各頻譜分量在何時(shí)出現(xiàn)。◆調(diào)制域概念 在通信等領(lǐng)域中,各種復(fù)雜的調(diào)制信號越來越多地被人們使用,因而,常常需要了解信號頻率隨時(shí)間的變化,以便對調(diào)制信號等進(jìn)行有效分析——即調(diào)制域分析。

調(diào)制域即指由頻率軸(F)和時(shí)間軸(T)共同構(gòu)成的平面域。第八十一頁,共九十三頁,編輯于2023年,星期六5.8.1調(diào)制域測量下圖所示描述了同一信號在時(shí)域(V-T)、頻域(V-F)、調(diào)制域(F-T)的特性。◆調(diào)制域分析儀能夠完成調(diào)制域分析的

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論