VGA顯示與TV顯示的區(qū)別及轉(zhuǎn)換_第1頁(yè)
VGA顯示與TV顯示的區(qū)別及轉(zhuǎn)換_第2頁(yè)
VGA顯示與TV顯示的區(qū)別及轉(zhuǎn)換_第3頁(yè)
VGA顯示與TV顯示的區(qū)別及轉(zhuǎn)換_第4頁(yè)
VGA顯示與TV顯示的區(qū)別及轉(zhuǎn)換_第5頁(yè)
已閱讀5頁(yè),還剩17頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

VGA顯示與TV顯示的區(qū)別及轉(zhuǎn)換

隨著多媒體技術(shù)的迅速發(fā)展和普及,人們自然要求計(jì)算機(jī)上運(yùn)行的影視軟件能在電視上觀看,而電視節(jié)目和錄象帶.VCD也能采集到計(jì)算機(jī)中進(jìn)行處理。由于芯片技術(shù)的飛速發(fā)展,所有的愿望都正在或即將變?yōu)楝F(xiàn)實(shí),計(jì)算機(jī)與電視機(jī)的界限也越來(lái)越模糊?,F(xiàn)在,計(jì)算機(jī)顯示用的是VGA格式,而電視機(jī)顯示用的是TV格式,如何實(shí)現(xiàn)兩種完全不同的掃描方式之間的轉(zhuǎn)換,就是本文將要討論的問(wèn)題。

1計(jì)算機(jī)圖象格式

首先讓我們回顧一下計(jì)算機(jī)圖象格式的發(fā)展歷史。從單色到彩色到真彩色,計(jì)算機(jī)圖象格式由早期的MacPaintGEM/IMGPCX

等格式,到后來(lái)繼續(xù)出現(xiàn)的GIFTIFFBMPTGA和JPEG等格

式,它一直是計(jì)算機(jī)界發(fā)展較快的一個(gè)領(lǐng)域。

MacPaint格式最早來(lái)自APPLE公司的Macintosh,是一種位映

射式圖像文件格式。這種格式表示的圖象尺寸固定,均

為576像點(diǎn)*720像點(diǎn)。在這種格式中,實(shí)際的圖像數(shù)據(jù)只占據(jù)文件的很小一部份,位于表頭及圖樣數(shù)據(jù)之后,以及其簡(jiǎn)

單的格式壓縮。一幅MacPaint圖象的原始數(shù)據(jù)可能會(huì)占用超過(guò)50KB的內(nèi)存空間或磁盤(pán)空間。而實(shí)際上包含一個(gè)MacPaint圖象的磁盤(pán)文件很少有這么大。若一幅圖象的某一行全是白色,則該行只要用兩個(gè)byte就可以描述,而不必象它的未壓縮形式那樣存儲(chǔ)在72個(gè)byte中。第一個(gè)byte稱(chēng)為索引,在此時(shí)為72,第二個(gè)byte為“數(shù)據(jù)”。解碼時(shí)將其重復(fù)72次就可以形成未壓縮形式的對(duì)應(yīng)掃描行。MacPaint

文件的引人之處在于它們的數(shù)量非常多。無(wú)數(shù)令人感興趣的圖像均以MacPaint格式存儲(chǔ)在公用區(qū)域中,且沒(méi)有版權(quán)保護(hù)。這種格式的缺點(diǎn)是固定的圖像尺寸和超長(zhǎng)的表頭。

GEM/IMG圖像文件格式是基于MacPaint格式有效的圖像壓縮

方法.易于解碼的格式等優(yōu)點(diǎn),由DigitalResearch公司創(chuàng)建的與MacPaint格式相比它適用于任何尺寸的圖像。一般應(yīng)用于DigitalResearch應(yīng)用程序和VenturaPublisher排版軟件。IMG文件的表頭只有16byte。

PCX格式是由ZSoft公司為它的PCPaintbrush圖形軟件創(chuàng)建的。通常用于與IBMPC兼客的計(jì)算機(jī),與PCPaintbrush圖形軟件一起使用。它是計(jì)算機(jī)圖像技術(shù)中第一個(gè)支持彩色圖像的文件格式。它引入了調(diào)色板的概念。目前大多數(shù)PC軟件支持PCX格式的版本5,可以自行定義顏色面板。它一直是非WINDOWS位圖圖像的事實(shí)上的標(biāo)準(zhǔn),并且大多數(shù)圖形程序都提供從它們自己的圖像格式到PCX格式的轉(zhuǎn)換方法。它不只是一種單獨(dú)的格式,它包括諸如8位,16位和24位彩色格式,以及真灰度格式和單色格式等一系列圖像格式。所有PCX文件均攜帶一個(gè)128byte的表頭,用于定義圖像的尺寸,彩色調(diào)色板及其它一些有關(guān)的圖像數(shù)據(jù)。

GIF是“GraphicsInterchangeFormat”圖形交換格式的首字母縮寫(xiě),是目前最流行的通用圖象格式之一。由CompuSever公司推出,它是一種壓縮文件格式,因?yàn)樗茏畲笙薅鹊販p少文件轉(zhuǎn)換時(shí)間,所以常用于從簡(jiǎn)報(bào).磁盤(pán)庫(kù).光盤(pán)中得到圖象。GIF在創(chuàng)建時(shí)已充

分考慮了視頻硬件的顯示尺寸將會(huì)不斷擴(kuò)展,它對(duì)使用者完全開(kāi)放,并予留了許多可用于擴(kuò)展的“中斷口”。由一個(gè)256色GIF圖象文件創(chuàng)建的彩色圖片看上去非常逼真。與在電視屏幕上看到的正常模擬圖象幾乎沒(méi)有差別。GIF格式采用可變長(zhǎng)度LZW壓縮方法,該壓縮技術(shù)的關(guān)鍵是“標(biāo)記”,即用某種“標(biāo)記”表示其可預(yù)測(cè)部分。LZW壓縮技術(shù)與其它壓縮技術(shù)的區(qū)別點(diǎn)在于它能夠動(dòng)態(tài)產(chǎn)生“標(biāo)記”。雖然編碼和解碼相對(duì)復(fù)雜一些,但LZW壓縮比.BMP和.PCX圖象采用的游程長(zhǎng)度編碼壓縮RLE有一個(gè)重要的優(yōu)點(diǎn):壓縮率高。

TIFF是目前流行的圖象格式中最復(fù)雜的,它們可以支持各種尺寸的高達(dá)24位彩色的圖像。其最大特點(diǎn)是可移植性,很容易在各種不同結(jié)構(gòu)的機(jī)器之間移植而無(wú)需過(guò)多的變動(dòng),常用于應(yīng)用程序與計(jì)算機(jī)平臺(tái)之間的文件交換。它不依附于某種專(zhuān)用軟件,因此各種各樣的應(yīng)用程序都可以支持它們。TIFF格式也采用LZW壓縮方法。TIFF格式為不同的圖象提供優(yōu)化的子格式

lB類(lèi)TIFF文件是由編碼成每個(gè)像素一位的黑白圖象構(gòu)成。

lG類(lèi)TIFF用于每個(gè)像素4位或8位組成的

灰度圖象。

lP類(lèi)TIFF文件用每個(gè)像素1到8位支持彩色調(diào)色板。

lR類(lèi)TIFF用于每個(gè)像素24位的圖象。

lF類(lèi)TIFF文件用于傳真圖象。

BMP格式是一種運(yùn)行于WINDOWS下的標(biāo)準(zhǔn)的位圖圖象文件格

式。目前被各種基于WINDOWS系列操作系統(tǒng)的用戶廣泛使用。當(dāng)用戶對(duì)一幅圖象進(jìn)行存儲(chǔ)時(shí),計(jì)算機(jī)的默認(rèn)格式就是.BMP。

TGA格式是由Truevision公司為視頻攝象機(jī)圖象而設(shè)計(jì),用于幀捕捉的最主要的24位圖象格式,其典型的圖象尺寸為400*512個(gè)像素,每像素16.24或32位彩色。

JPEG格式一般用來(lái)顯示照片和WWW以及在線服務(wù)的HTML文件,它能保存RGB圖象中的所有顏色信息。JPEG也是一種帶壓縮的文件格式,但在壓縮時(shí)文件有信息損失。

2計(jì)算機(jī)視頻顯示卡

10年前,當(dāng)人們對(duì)微機(jī)還很新奇的時(shí)候,IBM公司率先推出了

CGA視頻顯示卡。這種最初的卡能支持最大分

辨率640*200個(gè)像素的圖形,它的分辨率和彩色是非常有限的后來(lái)

又推出了具有640*350個(gè)像素分辨率的EGA

視頻顯示卡,在這種EGA卡中,有4個(gè)分頁(yè)的顯示緩沖區(qū),每一頁(yè)對(duì)應(yīng)一種顏色平面。接著又發(fā)展到了640*480個(gè)像素分辨率的VGA以及擴(kuò)展VGA模式SVGA視頻顯示卡,它具有1024*768個(gè)像素顯示。

各種顯示卡的功能大體相同,它們均可被稱(chēng)為“內(nèi)存映射設(shè)備”。

對(duì)于計(jì)算機(jī)來(lái)說(shuō),它們都是可尋址的內(nèi)存。它與程序內(nèi)存的差別在于顯示內(nèi)存含有某些額外的硬件配件,這些配件的功能是監(jiān)視內(nèi)存的工作,并促使內(nèi)存的內(nèi)容代表的數(shù)據(jù)在顯示屏幕上得以顯示。這些數(shù)據(jù)的實(shí)質(zhì)隨著顯示卡當(dāng)時(shí)所處的工作模式而變化,若顯示卡處于字符模式,則這個(gè)硬件配件監(jiān)視大約4K的顯示內(nèi)存,并把這里的字符送到屏幕上去顯示。如果顯示卡處于圖形模式,它則注視更大范圍的內(nèi)存空間,并要把它發(fā)現(xiàn)的數(shù)據(jù)作為位映射圖形來(lái)解釋。顯示卡上顯示緩沖區(qū)中的數(shù)據(jù)發(fā)生改變時(shí),將引起時(shí)刻監(jiān)視它的硬件配件改變屏幕的顯示。對(duì)于高分辨率顯示,至關(guān)重要的是要有足夠大的顯示內(nèi)存。一般支持640*480分辨率,256色的VGA卡需配512KB內(nèi)存;而支持1024*768分辨率,256色的VGA卡則要求1MB的容量。

視頻顯示卡需要提供一種機(jī)制,來(lái)表示紅.綠.藍(lán)三種彩色光線

——陰極射線管的三種基本熒光彩色的百分比。構(gòu)成此機(jī)制的一種明顯的方法,可以簡(jiǎn)單的由三個(gè)byte來(lái)構(gòu)成每一個(gè)像點(diǎn),每個(gè)byte可分別代表一種基色的百分比。但這樣處理占據(jù)了大量的存儲(chǔ)空間,并需要使用功能強(qiáng)大的計(jì)算機(jī)系統(tǒng)支持。

在調(diào)色板驅(qū)動(dòng)的顯示卡中,設(shè)計(jì)者讓每個(gè)像點(diǎn)并不直接確定它所代表的圖象那一點(diǎn)的顏色,即在該點(diǎn)上,像點(diǎn)不能規(guī)定紅.綠.藍(lán)三色光的百分比。相反它告訴顯示卡,在當(dāng)前的調(diào)色板中應(yīng)使用哪個(gè)表項(xiàng)來(lái)確定要求的彩色成分。調(diào)色板僅僅是一個(gè)搜索表,在此表中,每個(gè)表項(xiàng)都由三個(gè)數(shù)字所組成。通常情況下,顯示卡在同一時(shí)刻能夠顯示不同彩色的數(shù)量是很小的。調(diào)色板驅(qū)動(dòng)的顯示卡通常能從一個(gè)很大數(shù)量的顏色范圍中挑選出某些顏色進(jìn)行顯示。如EGA卡可以從64種顏色中選擇16種進(jìn)行顯示,VGA卡則能從大約25萬(wàn)種顏色中選擇256種進(jìn)行顯示。

顯示卡一次能夠顯示的不同彩色數(shù),是其調(diào)色板規(guī)格的一種函數(shù),即調(diào)色板內(nèi)表項(xiàng)的數(shù)目。此數(shù)目對(duì)于EGA卡是16,對(duì)于VGA卡則是256。從大量的彩色數(shù)中所選出的能夠用于顯示的彩色數(shù)目是一個(gè)控制等級(jí)的因子。這種控制是顯示卡通過(guò)它所連接的顯像管的三色槍的光強(qiáng)度來(lái)實(shí)現(xiàn)。在EGA卡的情況下,每支槍都能設(shè)置成四種光強(qiáng)度電位之一。因?yàn)橛腥專(zhuān)钥偟牟噬珨?shù)目為4的三次冪即64。

在VGA卡的設(shè)計(jì)中,使用一種模擬監(jiān)視器。這種監(jiān)視器沒(méi)有專(zhuān)門(mén)的光強(qiáng)度級(jí)差。對(duì)每支槍的控制是通過(guò)一個(gè)模擬電壓來(lái)實(shí)現(xiàn)的。該模擬電壓是由連接至監(jiān)視器的VGA卡中的一個(gè)六位數(shù)模轉(zhuǎn)換器產(chǎn)生的。數(shù)模轉(zhuǎn)換器根據(jù)輸入的二進(jìn)制數(shù)產(chǎn)生一個(gè)與輸入相對(duì)應(yīng)的

模擬電壓信號(hào)。6位二進(jìn)制數(shù)可以產(chǎn)生64個(gè)分離的數(shù)值,所以VGA卡可以為顯像管的三支彩色槍的每一支定義出64個(gè)亮度級(jí)別??偣部梢援a(chǎn)生64的3次冪,即262141種彩色。顯然以這種彩色成分的精細(xì)控制,VGA卡能夠產(chǎn)生非常細(xì)微的彩色差別。它能以足夠的彩色控制來(lái)模擬實(shí)際情況中的閃光色調(diào).陰影的細(xì)節(jié),以及自然光線產(chǎn)生的彩色差別。在實(shí)際應(yīng)用中,對(duì)一幅彩色照片進(jìn)行的數(shù)字化處理,很少需要超過(guò)256種不同的彩色。

3VGA顯示的特點(diǎn)及與TV顯示的區(qū)別

VGA是視頻圖形陣列的縮寫(xiě),它是1987年IBM公司為PC機(jī)的顯示系統(tǒng)制定的標(biāo)準(zhǔn)。

VGA的顯示特點(diǎn)是掃描格式繁多,分辨率從320*200一直延伸到1280*1024,行頻~70Hz,場(chǎng)頻50~100Hz。常見(jiàn)的分辨率有320*200,640*400,640*480,720*350,800*600,1024*768,1280*1024。常見(jiàn)行頻有,,,等,常見(jiàn)場(chǎng)頻有50Hz,60Hz,70Hz,100Hz等。掃描方式有逐行和隔行,絕大部分是逐行。顯示模式有文本和圖形之分。顯示顏色有16,256,32K,64K,16700K種顏色之分。

相比之下,電視掃描只有625行/50Hz和525行/60Hz兩種行場(chǎng)頻方式,而且全部為隔行掃描方式。

4VGA到TV的轉(zhuǎn)換原理

在專(zhuān)業(yè)電視設(shè)備中,用數(shù)字制式轉(zhuǎn)換器在625行/50Hz和525行/60Hz兩種掃描方式之間進(jìn)行轉(zhuǎn)換?;驹硎峭ㄟ^(guò)幀存儲(chǔ)器,用一種制式的時(shí)序?qū)憥妫昧硪环N制式的時(shí)序讀幀存,并用增減行場(chǎng)的方法調(diào)整掃描頻率。如從525行/60Hz轉(zhuǎn)換到625行/50Hz,每幀增添100行,每秒減少10場(chǎng)。增減行場(chǎng)會(huì)引起運(yùn)動(dòng)圖象不連續(xù),還必需用內(nèi)插算法進(jìn)行平滑。

VGA到TV的轉(zhuǎn)換也用同樣的原理,即重新設(shè)置VGA圖形加速器IC中的顯示控制寄存器,可把任意顯示模式改寫(xiě)成電視掃描方式,但是需要重新編寫(xiě)B(tài)IOS程序,實(shí)現(xiàn)起來(lái)并不容易。要把全部的VGA模式轉(zhuǎn)換成TV格式,還需設(shè)計(jì)復(fù)雜的識(shí)別和切換電路,造價(jià)很高。

VGA幾乎全部采用逐行掃描,電腦屏幕上的一條水平單線轉(zhuǎn)換到隔行掃描的電視屏幕上,就會(huì)僅出現(xiàn)在單場(chǎng)中,引起明顯的閃爍現(xiàn)象。同樣,閃爍也會(huì)發(fā)生在單個(gè)像素上。因而必需采取抗閃爍措施。一般的轉(zhuǎn)換芯片中的抗閃爍電路有三行內(nèi)插濾波器和兩行內(nèi)插濾波器之分,也可以旁路這些濾波器,對(duì)應(yīng)著抗閃爍方式的高.中.無(wú)三種。場(chǎng)內(nèi)插濾波器效果會(huì)更好,但成本高。

小于電視分辨率720*576的VGA模式,轉(zhuǎn)換為電視格式后會(huì)不滿屏,而大于電視分辨率的VGA模式會(huì)溢出屏幕。因而還要進(jìn)行補(bǔ)點(diǎn)和增點(diǎn)采樣,這點(diǎn)與畫(huà)中畫(huà)和電視墻所采用的技術(shù)相同。

VGA模式的象素是正方形,而CCIR601電視標(biāo)準(zhǔn)象素是長(zhǎng)方形,VGA圖形直接轉(zhuǎn)換成電視圖形后會(huì)產(chǎn)生幾何畸變。在計(jì)算機(jī)上畫(huà)一個(gè)圓,轉(zhuǎn)換到電視上就變成一個(gè)垂直方向伸長(zhǎng)的橢圓。因而還需進(jìn)行幾何畸變校正,也稱(chēng)反走樣。

另外,VGA模式多數(shù)為偽彩色,灰度值不進(jìn)行r校正。而電視顯示全部是真彩色,并經(jīng)過(guò)r校正,轉(zhuǎn)換后的顏色和灰度會(huì)有差別,要求較高時(shí)也需進(jìn)行處理。

圖1給出了一種單片VGA顯示到TV顯示轉(zhuǎn)換器的內(nèi)部功能框。這種單片轉(zhuǎn)換器無(wú)須外接存儲(chǔ)器和微處理器,就能把多種VGA模式轉(zhuǎn)換成NTSC和PAL制電視模式。具有良好的抗閃爍和反走樣能力。輸入的是標(biāo)準(zhǔn)的VGARGB和同步信號(hào),輸出的是電視標(biāo)準(zhǔn)的NTSC/PAL復(fù)合電視信號(hào)和S—Video半分量信號(hào)。

標(biāo)準(zhǔn)的VGARGB信號(hào)分別經(jīng)過(guò)三個(gè)8位的A/D轉(zhuǎn)換器變換成數(shù)字信號(hào),在視頻處理器中完成制式轉(zhuǎn)換,抗閃爍,反走樣和數(shù)字視頻編碼。三個(gè)9位分辨率的D/A把數(shù)字復(fù)合視頻和S—Video變換成模擬信號(hào)。RGB的采樣時(shí)鐘和存儲(chǔ)器的寫(xiě)時(shí)鐘都由行同步產(chǎn)生。時(shí)序電路中的第一個(gè)鎖相環(huán)可產(chǎn)生與VGA圖形同步的采樣時(shí)鐘,時(shí)序電路中的另一個(gè)鎖相環(huán)以27MHz的石英晶體為基準(zhǔn),產(chǎn)生存儲(chǔ)器讀時(shí)鐘.視頻編碼器和D/A時(shí)鐘。內(nèi)部靜態(tài)存儲(chǔ)器的大小決定了該轉(zhuǎn)換器只能對(duì)常用的文本格式.分辨率為640*480,800*600;行頻,KHz;幀頻50Hz,,60Hz或以上行幀頻整數(shù)倍的圖形模式轉(zhuǎn)換成TV格式。這些模式已包含了大部分DOS和Windows下的應(yīng)用軟件。

其他的VGA顯示到TV顯示的轉(zhuǎn)換器還有CIRRUSLOGIC公司推出的CL-GD5425圖形加速器,可以在ISA和VESAVL-BUS兩種總線下工作,外掛2MB存儲(chǔ)器,能把320*200,640*350,640*400,640*480分辨率下的17種VGA模式轉(zhuǎn)換為電視制式。另外,Trident公司的TVG9470能支持32位的PCI總線和4MBDRAM,把分辨率擴(kuò)展到800*600真彩色模式。1996年Trident公司推出的ProVidia9685是目前市場(chǎng)上功能較強(qiáng)的轉(zhuǎn)換芯片,它支持64位PCI總線,把2D/3D繪圖和圖形加速器集成一體,能運(yùn)行MMX指令編程的軟件。在圖形用戶界面上可開(kāi)兩個(gè)窗口顯示活動(dòng)圖象,片內(nèi)優(yōu)良的抗閃爍和抗鋸齒電路,使轉(zhuǎn)換后的電視圖象質(zhì)量能達(dá)到專(zhuān)業(yè)級(jí)水平。

5TV到VGA的顯示

由于VGA顯示器和電視機(jī)都是利用掃描光柵以及陰極射線管來(lái)實(shí)現(xiàn)的顯示設(shè)備,所以在顯示的基本原理上兩者是十分近似的,所不同的是VGA顯示器比較強(qiáng)調(diào)電性能,如行場(chǎng)線性,分辨率,視頻放大的帶寬,圖象的穩(wěn)定度等各方面指標(biāo)均優(yōu)于電視機(jī),其掃描部分由于要兼容范圍寬達(dá)—KHz行頻,50Hz

—100Hz場(chǎng)頻的顯示模式,因而這部分電路比電視機(jī)復(fù)雜,但電視機(jī)小信號(hào)處理方面環(huán)節(jié)較多,功能較VGA顯示器復(fù)雜。因此,TV到VGA的轉(zhuǎn)換,只需在VGA的基礎(chǔ)上,加入電視信號(hào)處理電路,用電性能指標(biāo)高的VGA顯示器兼容電視部分即可。首先要解決兩個(gè)行頻相差很大的系統(tǒng)實(shí)現(xiàn)協(xié)調(diào)工作。用一單片機(jī)控制系統(tǒng)完成VGA顯示和TV狀態(tài)的切換及因此而帶來(lái)的一系列參數(shù)控制,諸如高壓包供電電壓切換,行S校正電容的切換,行幅與場(chǎng)幅的切換等。其次完成將TV信號(hào)轉(zhuǎn)換成VGA顯示器所需的RGB和行場(chǎng)同步信號(hào)格式。也就是從RF電視信號(hào)輸入開(kāi)始,中間加入AV切換,多種彩色電視制式的兼容,伴音處理,以及產(chǎn)生VGA顯示器視頻放大所需的RGB信號(hào)格式,和供行場(chǎng)掃描用的行同步與場(chǎng)同步信號(hào)。

TV到VGA的轉(zhuǎn)換,除了可用于在計(jì)算機(jī)上實(shí)時(shí)收看電視節(jié)目之外,還可以用于對(duì)電視信號(hào)的實(shí)時(shí)捕捉,以使用各種圖形圖象軟件進(jìn)行處理。

對(duì)PAL制全電視信號(hào)的實(shí)時(shí)捕捉,實(shí)際上就是復(fù)合視頻信號(hào)的數(shù)字化傳輸和記錄。根據(jù)PCM編碼原理,設(shè)采樣頻率為4Fsc,碼速率為

R=4Fsc*n

=4**8bit

=142Mbit/s

式中Fsc為副載波頻率,n為量化比特?cái)?shù)??梢?jiàn)經(jīng)數(shù)字化的PAL全電視信號(hào),傳輸速率為142Mb/s,折合到頻帶需70~140MHz的帶寬,是模擬信道的12~24倍。這樣高的碼速率,在目前最快的Pentium-200上,用PCI總線傳輸和用9GB硬盤(pán)記錄,也只能存儲(chǔ)8分鐘的視頻數(shù)據(jù),顯然無(wú)論在技術(shù)上還是在經(jīng)濟(jì)上都是不可取的。因而,必需采用壓縮技術(shù)對(duì)視頻信號(hào)進(jìn)行處理。目前主要有MPEG-1,MPEG-2和M-JPEG等幾種壓縮和解壓縮標(biāo)準(zhǔn)。其目的只有一個(gè),減少數(shù)碼率。

除了數(shù)碼率以外,還有兩點(diǎn)必須考慮:一是選擇合適的采樣頻率,二是選擇滿足需要的量化層數(shù)。

對(duì)于采樣頻率,應(yīng)從采樣定理,減少副載波干擾和合理的樣點(diǎn)空間結(jié)構(gòu)三方面考慮,根據(jù)香農(nóng)采樣定理和綜合前后置濾波器的易于實(shí)現(xiàn),采樣頻率應(yīng)不低于視頻基帶中最高頻率的倍,視頻基帶一般為0~6MHz,則采樣頻率應(yīng)大于MHz。副載波頻率及邊帶位于亮度信號(hào)高端,且能量較大,量化過(guò)程中會(huì)產(chǎn)生諧波,某些諧波會(huì)通過(guò)采樣折疊到基帶中,與基帶亮度和色度產(chǎn)生差拍干擾。如果充分利用色度信號(hào)的頻譜間置特點(diǎn),使采樣頻率與副載波相關(guān),折疊到基帶中的副載波諧波就落在亮度頻譜間隙中,與色度信號(hào)頻譜重合產(chǎn)生零拍,而零拍干擾人眼不易覺(jué)察到。既滿足采樣定理,又與副載波采樣頻率相關(guān)。在PAL制中,只有3Fsc和4Fsc易于實(shí)現(xiàn),而當(dāng)采樣頻率為4Fsc,與行頻關(guān)系近似為fs=(4n-1)fH,一行中近似包含整數(shù)個(gè)樣點(diǎn),因此一場(chǎng)中相鄰行的樣點(diǎn)是近似對(duì)準(zhǔn)的,設(shè)場(chǎng)存儲(chǔ)器為313行,一場(chǎng)的樣點(diǎn)為

Nfieid=行數(shù)*fS/fH

=313*fsc/fH

=313*4

1252n-311

所以奇偶場(chǎng)的樣點(diǎn)也是近似對(duì)準(zhǔn)的,一幀的樣點(diǎn)為

Nframe=625*4*(n-1/4+1/625)

=2500n-621

所以幀間的樣點(diǎn)是重合的,可見(jiàn)用4Fsc采樣時(shí),將得到正交的空間取樣結(jié)構(gòu),這給數(shù)據(jù)處理帶來(lái)了方便,圖象質(zhì)量也很好,電路簡(jiǎn)單,在時(shí)基校正和幀同步系統(tǒng)中應(yīng)用較多。

關(guān)于量化層數(shù)主要是根據(jù)信躁比確定,視頻信號(hào)與音頻信號(hào)相比,圖象的動(dòng)態(tài)范圍不大,而且是單極性信號(hào),這種信號(hào)的量化信躁比為S/N=+6n,式中n為量化比特?cái)?shù),當(dāng)n=8時(shí),S/N=dB。然而,在系統(tǒng)中,同一視頻信號(hào)經(jīng)多種設(shè)備反復(fù)進(jìn)行量化處理,這時(shí)噪聲就會(huì)按10lgn(dB)上升,當(dāng)反復(fù)處理4次時(shí),信噪比降低了一倍,相當(dāng)于量化比特?cái)?shù)少了1比特。因而在反復(fù)進(jìn)行數(shù)字處理的系統(tǒng)中,要根據(jù)實(shí)際情況增加量化比特。當(dāng)前,專(zhuān)業(yè)數(shù)字視頻設(shè)備中最常見(jiàn)的是8~10比特量化,HD-End的頂級(jí)設(shè)備中用12比特量化。

要實(shí)現(xiàn)計(jì)算機(jī)對(duì)電視信號(hào)的實(shí)時(shí)捕捉,一般系統(tǒng)需包括多制式解碼器,視頻圖形加速器和存儲(chǔ)器。整個(gè)捕捉系統(tǒng)與一臺(tái)Pentium-100以上的微機(jī)的PCI總線相接。多制式解碼器用于將外部輸入的一路NTSC,PAL或SECAM制式的復(fù)合電視信號(hào),經(jīng)A/D轉(zhuǎn)換,Y/C分離,產(chǎn)生視頻采樣時(shí)鐘,進(jìn)行數(shù)字視頻解調(diào)。完成彩色空間轉(zhuǎn)換和雙窗口X/Y定標(biāo),輸出接口能直接與圖形加速器相連接。圖2給出了一個(gè)多制式專(zhuān)業(yè)視頻解碼器的內(nèi)部功能結(jié)構(gòu)。

視頻圖形加速器包含視頻捕捉,XY線性?xún)?nèi)插,雙頻合成,24

比特真彩色RAMD/A變換,具有PCI,VESA-VL總線接口,最高分辨率為1280*1024。圖3給出了一圖形加速器功能框圖。

以北京新奧特公司生產(chǎn)的非線形編輯系統(tǒng)為例,該系統(tǒng)采用了Matrox公司的Digisuit套件中的MlarvelMillennium高速定標(biāo)顯示卡,支持8MBDRAM,能實(shí)時(shí)采集視頻圖像并進(jìn)行MPEG壓縮后與GUI疊顯,實(shí)現(xiàn)了VGA圖像與TV圖像同屏顯示,TV窗口的大小和位置均可改變。

5應(yīng)用及市場(chǎng)前景

隨著信息產(chǎn)業(yè)的飛速發(fā)展和PC的迅速普及,傳統(tǒng)的家電產(chǎn)業(yè)正與計(jì)算機(jī)信息產(chǎn)業(yè)互相滲透和融合。家庭越來(lái)越需要一種既能觀看普通電視節(jié)目,又能顯示各類(lèi)計(jì)算機(jī)信息的兼容顯示終端,并能滿足多媒體發(fā)展的

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論