CPLD電子表設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第1頁
CPLD電子表設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第2頁
CPLD電子表設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第3頁
CPLD電子表設(shè)計(jì)實(shí)驗(yàn)報(bào)告_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

電子表設(shè)計(jì)實(shí)驗(yàn)報(bào)告精儀94王譽(yù)超2009010592電路原理利用兩個(gè)74LS90連接成為一個(gè)60進(jìn)制的計(jì)數(shù)器,用1Hz的脈沖作為時(shí)鐘源,實(shí)現(xiàn)電子表“秒”的功能?!懊搿钡牟糠置扛?0秒產(chǎn)生一個(gè)進(jìn)位信號(hào),作為另一個(gè)60進(jìn)制計(jì)數(shù)器的輸入信號(hào),實(shí)現(xiàn)“分”的功能?!胺帧钡牟糠置扛?0個(gè)進(jìn)位信號(hào)即60分鐘又產(chǎn)生一個(gè)進(jìn)位信號(hào),作為由兩個(gè)74LS90組成的24進(jìn)制計(jì)數(shù)器的輸入信號(hào),實(shí)現(xiàn)“時(shí)”的功能。用數(shù)碼管可顯示出三個(gè)計(jì)數(shù)器當(dāng)前的數(shù)值,實(shí)現(xiàn)時(shí)間的輸出顯示。利用74LS153可以實(shí)現(xiàn)選擇進(jìn)位信號(hào)或是校時(shí)脈沖作為下一級(jí)的輸入信號(hào),實(shí)現(xiàn)“校時(shí)—正?!蹦J街g的切換。為了保證校時(shí)信號(hào)的準(zhǔn)確穩(wěn)定,加入了去抖模塊。電路實(shí)現(xiàn)60進(jìn)制計(jì)數(shù)器首先將二-五計(jì)數(shù)器74LS90連接成為十進(jìn)制計(jì)數(shù)器,即將二進(jìn)制的輸出QA連接到五進(jìn)制的輸入CLKB。然后將一個(gè)十進(jìn)制計(jì)數(shù)器的輸出端連接到另一個(gè)十進(jìn)制計(jì)數(shù)器的輸入端組成一百進(jìn)制計(jì)數(shù)器,前者進(jìn)行個(gè)位的計(jì)數(shù),后者進(jìn)行十位的計(jì)數(shù)。由于要實(shí)現(xiàn)在計(jì)數(shù)到60時(shí)立即歸零,所以將十位計(jì)數(shù)到6作為清零信號(hào),即QB、QC同時(shí)輸出“1”時(shí)清零,使用與門實(shí)現(xiàn)。清零信號(hào)同時(shí)作為進(jìn)位信號(hào)。圖SEQ圖\*ARABIC160進(jìn)制計(jì)數(shù)器24進(jìn)制計(jì)數(shù)器首先將二-五計(jì)數(shù)器74LS90連接成為十進(jìn)制計(jì)數(shù)器,即將二進(jìn)制的輸出QA連接到五進(jìn)制的輸入CLKB。然后將一個(gè)十進(jìn)制計(jì)數(shù)器的輸出端連接到另一個(gè)十進(jìn)制計(jì)數(shù)器的輸入端組成一百進(jìn)制的計(jì)數(shù)器,前者進(jìn)行個(gè)位的計(jì)數(shù),后者進(jìn)行十位的計(jì)數(shù)。由于要實(shí)現(xiàn)在計(jì)數(shù)到24時(shí)立即歸零,所以將十位計(jì)數(shù)到2且個(gè)位計(jì)數(shù)到4作為清零信號(hào),即十位的QB和個(gè)位的QC同時(shí)輸出“1”時(shí)清零,同樣使用與門實(shí)現(xiàn)。清零信號(hào)同時(shí)可作為進(jìn)位信號(hào)。圖SEQ圖\*ARABIC224進(jìn)制計(jì)數(shù)器模式選擇使用數(shù)據(jù)選擇器74LS153,將B端置“0”,進(jìn)位信號(hào)接到C0端,校時(shí)信號(hào)接到C1端,當(dāng)75LS153的A端輸入為“0”時(shí),Y端輸出為C0,即進(jìn)位信號(hào),屬于正常模式,此時(shí)校時(shí)信號(hào)對(duì)下一級(jí)無影響;當(dāng)A端輸入為“1”時(shí),Y端輸出為C1,即校時(shí)信號(hào),屬于校時(shí)模式,此時(shí)進(jìn)位信號(hào)對(duì)下一級(jí)無影響。校時(shí)模式下,每一個(gè)校時(shí)脈沖都使相應(yīng)的分或者時(shí)的計(jì)數(shù)加1。“分”計(jì)數(shù)““分”計(jì)數(shù)“秒”計(jì)數(shù)“時(shí)“時(shí)”計(jì)數(shù)圖SEQ圖\*ARABIC3完整的電子表電路三、去抖模塊的工作原理 下面是去抖模塊的部分代碼。ARCHITECTUREBEHAVIOROFANTI_SHAKEISBEGIN P1:PROCESS(CLK,RESET,INPUT) VARIABLEcnt:integerrange0to2047:=1000; BEGIN if(RESET='0')then cnt:=1000; OUTPUT<='1'; elsif(rising_edge(CLK))thenif(INPUT='0')thencnt:=cnt-1;elsif(INPUT='1')thencnt:=cnt+1;endif;if(cnt>1500)thenOUTPUT<='1';cnt:=1500;elsif(cnt<500)thenOUTPUT<='0';cnt:=500;endif; endif; ENDPROCESSP1;ENDBEHAVIOR; 當(dāng)按動(dòng)按鈕時(shí),按鈕的機(jī)械動(dòng)作常伴有抖動(dòng)的現(xiàn)象,使得在按動(dòng)的過程(指開始按動(dòng)到停止不動(dòng))中輸出并不是理想的,而是由很多個(gè)小脈沖組成的,所以若輸出為單脈沖的情況可能實(shí)際上在脈沖開始和結(jié)束的時(shí)候是輸出了很多個(gè)脈沖。去抖模塊定義了整數(shù)“cnt”,使用“cnt”控制輸出為高電平或是低電平。接入47KHz的脈沖作為時(shí)鐘信號(hào),每隔1/47ms進(jìn)行一次判定(在時(shí)鐘信號(hào)的上升沿):若輸入為“0”,則cnt減1;若輸入為“1”,則cnt加1。當(dāng)cnt>1500時(shí),輸出“1”,同時(shí)cnt保持為1500;當(dāng)cnt<500時(shí),輸出“0”,同時(shí)cnt保持為500。所以要實(shí)現(xiàn)輸出信號(hào)的改變則必須在較長的時(shí)間內(nèi)(大于1000個(gè)周期)進(jìn)行判定時(shí)輸入保持不變,這樣就不會(huì)因?yàn)閹状位蛘呤畮讉€(gè)脈沖的出現(xiàn)而使輸出信號(hào)發(fā)生

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論