開啟能工巧匠之路-數(shù)字系統(tǒng)設(shè)計(jì)智慧樹知到課后章節(jié)答案2023年下青島工學(xué)院_第1頁(yè)
開啟能工巧匠之路-數(shù)字系統(tǒng)設(shè)計(jì)智慧樹知到課后章節(jié)答案2023年下青島工學(xué)院_第2頁(yè)
開啟能工巧匠之路-數(shù)字系統(tǒng)設(shè)計(jì)智慧樹知到課后章節(jié)答案2023年下青島工學(xué)院_第3頁(yè)
開啟能工巧匠之路-數(shù)字系統(tǒng)設(shè)計(jì)智慧樹知到課后章節(jié)答案2023年下青島工學(xué)院_第4頁(yè)
開啟能工巧匠之路-數(shù)字系統(tǒng)設(shè)計(jì)智慧樹知到課后章節(jié)答案2023年下青島工學(xué)院_第5頁(yè)
已閱讀5頁(yè),還剩6頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

開啟能工巧匠之路——數(shù)字系統(tǒng)設(shè)計(jì)智慧樹知到課后章節(jié)答案2023年下青島工學(xué)院青島工學(xué)院

緒論單元測(cè)試

傳統(tǒng)設(shè)計(jì)方法自下而上,EDA設(shè)計(jì)方法為自上而下()

A:錯(cuò)B:對(duì)

答案:對(duì)

傳統(tǒng)設(shè)計(jì)方法采用電路圖為主()

A:錯(cuò)B:對(duì)

答案:對(duì)

EDA技術(shù)方法以硬件描述語(yǔ)言(HDL)為主()

A:對(duì)B:錯(cuò)

答案:對(duì)

EDA技術(shù)方法是手工實(shí)現(xiàn)()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

第一章測(cè)試

下面哪個(gè)不屬于簡(jiǎn)單PLD()。

A:PLAB:PROMC:GALD:CPLD

答案:CPLD

低密度PLD不包括()。

A:PLAB:PALC:PROMD:FPGA

答案:FPGA

PAL和SSI(Small-ScaleIntegration)、MSI(Middle-ScaleIntegration)通用標(biāo)準(zhǔn)器件相比沒(méi)有哪個(gè)優(yōu)點(diǎn)()。

A:有上電復(fù)位功能和加密功能,可以防止非法復(fù)制。B:PAL器件采用熔絲工藝,一旦編程(燒錄)后便不能改寫。C:提高了設(shè)計(jì)的靈活性,且編程和使用都比較方便。PALD:提高了功能密度,節(jié)省了空間。

答案:PAL器件采用熔絲工藝,一旦編程(燒錄)后便不能改寫。

EPROM,紫外線擦除電可編程邏輯器件,其工作時(shí)用較高電壓編程,用紫外線擦除,可編程幾十次。()

A:對(duì)B:錯(cuò)

答案:對(duì)

數(shù)字電路根據(jù)邏輯功能的不同特點(diǎn),可以分成兩大類:一類是組合邏輯電路(簡(jiǎn)稱組合電路),另一類是時(shí)序邏輯電路(簡(jiǎn)稱時(shí)序電路)。()

A:錯(cuò)B:對(duì)

答案:對(duì)

PAL采用雙極型TTL。()

A:對(duì)B:錯(cuò)

答案:對(duì)

高密度的可編程邏輯器件主要包括CPLD和PLA。()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

FPGA實(shí)現(xiàn)組合邏輯的基本結(jié)構(gòu)像ASIC那樣通過(guò)固定的“與非”門來(lái)完成。()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

SRAM工藝的FPGA芯片斷電后不會(huì)丟失內(nèi)部邏輯配置。()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

GAL采用了電擦除、電可編程的E2CMOS工藝制作,可以用電信號(hào)擦除并反復(fù)編程上百次。()

A:對(duì)B:錯(cuò)

答案:對(duì)

第二章測(cè)試

本課程使用的是哪種軟件()。

A:QuartusⅡB:MaxplusⅡC:PROTEL

答案:QuartusⅡ

VHDL支持原理圖輸入()

A:對(duì)B:錯(cuò)

答案:對(duì)

不同的設(shè)計(jì)項(xiàng)目最好放在不同的文件夾中,而同一工程的所有文件都必須放在同一文件夾中。()

A:錯(cuò)B:對(duì)

答案:對(duì)

VHDL設(shè)計(jì)必須先建工程后建立VHDLFile()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

工程名字最好和頂層實(shí)體名字一致。()

A:對(duì)B:錯(cuò)

答案:對(duì)

VHDLFile編譯出現(xiàn)警告,必須把所有警告改正才能繼續(xù)執(zhí)行。()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

編譯出現(xiàn)錯(cuò)誤,需要將所有錯(cuò)誤修改后再次進(jìn)行編譯,直至排除所有錯(cuò)誤。()

A:對(duì)B:錯(cuò)

答案:對(duì)

波形仿真需要把所有的輸入輸出端口都進(jìn)行設(shè)置()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

波形仿真需要設(shè)置合適的仿真時(shí)間()

A:對(duì)B:錯(cuò)

答案:對(duì)

波形文件命名應(yīng)該和實(shí)體名字保持一致()

A:錯(cuò)B:對(duì)

答案:對(duì)

第三章測(cè)試

設(shè)D0為‘0’,D1為‘0’,D2為‘1’,D3為‘0’,D0&D1&D2&D3的運(yùn)算結(jié)果為()。

A:0100B:0001C:1000D:0010

答案:0010

VHDL描述語(yǔ)句分為順序語(yǔ)句和()兩大類.

A:逆序語(yǔ)句B:判斷語(yǔ)句C:并行語(yǔ)句D:串行語(yǔ)句

答案:并行語(yǔ)句

VHDL順序描述語(yǔ)句分為().

A:賦值語(yǔ)句B:串行語(yǔ)句C:逆序語(yǔ)句D:并行語(yǔ)句

答案:賦值語(yǔ)句

信號(hào)的屬性函數(shù)也是函數(shù)類屬性描述語(yǔ)句的一種。其可以得到信號(hào)的()。

A:行為信息B:歷史信息C:數(shù)據(jù)信息D:功能信息

答案:行為信息;歷史信息;功能信息

VHDL語(yǔ)言的數(shù)據(jù)類型包含()。

A:整型B:位矢量C:布爾量D:字符

答案:位矢量;布爾量;字符

VHDL語(yǔ)言中流程控制語(yǔ)句包含()。

A:EXITB:IFC:PASSD:CASE

答案:EXIT;IF;CASE

實(shí)體相當(dāng)于電路圖中的一個(gè)器件符號(hào)。()

A:對(duì)B:錯(cuò)

答案:對(duì)

VHDL語(yǔ)言中結(jié)構(gòu)體的子結(jié)構(gòu)之間是互相并行的。()

A:錯(cuò)B:對(duì)

答案:對(duì)

VHDL語(yǔ)言中的IF語(yǔ)句是判斷分支語(yǔ)句。()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

VHDL語(yǔ)言的運(yùn)算符優(yōu)先級(jí)相同。()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

第四章測(cè)試

下面哪些屬于實(shí)體的端口模式()。

A:INOUTB:OUTC:BUFFERD:IN

答案:INOUT;OUT;BUFFER;IN

下面哪種端口說(shuō)明既可以做輸入又可以做輸出()。

A:BUFFERB:OUTC:INOUTD:IN

答案:INOUT

端口模式IN表示為只讀模式()

A:錯(cuò)B:對(duì)

答案:對(duì)

VHDL程序的基本結(jié)構(gòu)僅包括實(shí)體和結(jié)構(gòu)體()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

一個(gè)設(shè)計(jì)實(shí)體只能對(duì)應(yīng)一個(gè)構(gòu)造體()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

VHDL語(yǔ)句結(jié)構(gòu)描述中方括號(hào)“[]”內(nèi)的內(nèi)容為可選內(nèi)容。()

A:錯(cuò)B:對(duì)

答案:對(duì)

PORT語(yǔ)句稱為端口說(shuō)明,用于描述設(shè)計(jì)實(shí)體對(duì)外通信的輸入/輸出端口的數(shù)量、數(shù)據(jù)類型、端口模式等動(dòng)態(tài)特性,一般是可以省略。()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

構(gòu)造體包括構(gòu)造體說(shuō)明和構(gòu)造體功能描述兩部分()

A:錯(cuò)B:對(duì)

答案:對(duì)

類屬中的常量名由設(shè)計(jì)者確定,數(shù)據(jù)類型通常取INTEGER或TIME等類型,設(shè)定值即為常數(shù)名所代表的數(shù)值。()

A:對(duì)B:錯(cuò)

答案:對(duì)

構(gòu)造體功能描述是完成設(shè)計(jì)實(shí)體邏輯功能描述的語(yǔ)句()

A:錯(cuò)B:對(duì)

答案:對(duì)

第五章測(cè)試

進(jìn)程語(yǔ)句是一個(gè)無(wú)限循環(huán)的語(yǔ)句,有運(yùn)行和掛起兩種狀態(tài)。()

A:錯(cuò)B:對(duì)

答案:對(duì)

進(jìn)程語(yǔ)句遇到ENDPROCESS立即結(jié)束運(yùn)行。()

A:錯(cuò)B:對(duì)

答案:錯(cuò)

設(shè)計(jì)實(shí)體內(nèi)部的數(shù)據(jù)傳遞需要通過(guò)賦值語(yǔ)句來(lái)完成。()

A:錯(cuò)B:對(duì)

答案:對(duì)

EDA中,ELSIF和ELSEIF沒(méi)有區(qū)別。()

A:對(duì)B:錯(cuò)

答案:錯(cuò)

CASE語(yǔ)句的條件相互獨(dú)立,不具有向上相“與“的功能。()

A:錯(cuò)B:對(duì)

答案:對(duì)

以下關(guān)于信號(hào)和變量的描述中正確的是()

A:信號(hào)是描述硬件系統(tǒng)的基本數(shù)據(jù)對(duì)象,它的性質(zhì)類似于連接線B:信號(hào)的定義范圍是結(jié)構(gòu)體、進(jìn)程C:除了沒(méi)有方向說(shuō)明以外,信號(hào)與實(shí)體的端口概念是一致的D:在進(jìn)程中不能將變量列入敏感信號(hào)列表中

答案:信號(hào)是描述硬件系統(tǒng)的基本數(shù)據(jù)對(duì)象,它的性質(zhì)類似于連接線;除了沒(méi)有方向說(shuō)明以外,信號(hào)與實(shí)體的端口概念是一致的;在進(jìn)程中不能將變量列入敏感信號(hào)列表中

下列語(yǔ)句中,屬于并行語(yǔ)句的是()

A:元件例化語(yǔ)句B:CASE語(yǔ)句

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論