基于單片機(jī)的定時(shí)開關(guān)控制器的設(shè)計(jì)與實(shí)現(xiàn)_第1頁
基于單片機(jī)的定時(shí)開關(guān)控制器的設(shè)計(jì)與實(shí)現(xiàn)_第2頁
基于單片機(jī)的定時(shí)開關(guān)控制器的設(shè)計(jì)與實(shí)現(xiàn)_第3頁
基于單片機(jī)的定時(shí)開關(guān)控制器的設(shè)計(jì)與實(shí)現(xiàn)_第4頁
基于單片機(jī)的定時(shí)開關(guān)控制器的設(shè)計(jì)與實(shí)現(xiàn)_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

目錄1522摘要I29348關(guān)鍵詞I21244AbstractI925KeywordsI281381引言 199551.1研究背景與應(yīng)用 138521.2主要研究內(nèi)容 2279762系統(tǒng)根本方案的選擇與論證 3242252.1本設(shè)計(jì)方案思路 3137902.2可控開關(guān)設(shè)計(jì)的選擇 3327632.3時(shí)鐘信號的實(shí)現(xiàn) 5320232.4譯碼方案的選擇 6211713硬件設(shè)計(jì) 934703.1可控開關(guān)電路 106263.2電平轉(zhuǎn)換電路 11269693.2.1LM7805穩(wěn)壓電路 115443.2.2RS232電平轉(zhuǎn)換電路 12135153.3單片機(jī)系統(tǒng)電路 1213689單片機(jī)P89V51簡介 12313123.3.2P89V51RD2的時(shí)鐘電路14256873.4顯示電路 1453904軟件設(shè)計(jì) 15115684.1總體方案 15168734.2主程序流圖 1562924.3中斷模塊說明 1744755制作與調(diào)試 17158075.1硬件電路的布線與焊接 1728095.1.1總體特點(diǎn) 17103485.1.2電路劃分與PCB的制作 1838715.1.3焊接 18293275.2調(diào)試19173035.3改良與擴(kuò)展19226956結(jié)論 1921110參考文獻(xiàn) 201467致謝2126308附錄22基于單片機(jī)的定時(shí)開關(guān)控制器的設(shè)計(jì)與實(shí)現(xiàn)摘要隨著時(shí)代的進(jìn)步,電子行業(yè)的開展,定時(shí)器的應(yīng)用也越來越廣泛。但傳統(tǒng)的定時(shí)器都是使用發(fā)條驅(qū)動(dòng)式、電機(jī)傳動(dòng)式等機(jī)械定時(shí)器。電子定時(shí)器相對傳統(tǒng)定時(shí)器來說,有體積小、重量輕、造價(jià)低、精度高、壽命長、而且平安可靠、調(diào)整方便、適于頻繁使用等優(yōu)點(diǎn)。本設(shè)計(jì)采用51單片機(jī)為核心,闡述了系統(tǒng)工作原理,給出了軟件流程。該電子定時(shí)器在滿足對電器的電源進(jìn)行控制,同時(shí)也要滿足電子定時(shí)器的簡易操作。最大時(shí)間能到達(dá)24h。關(guān)鍵詞定時(shí)器;單片機(jī);數(shù)字電路;智能;DesignandImplementationofTimingSwitchControllerBasedonMCUAbstractWith

the

progress

of

era,

the

development

of

electronic

industry,

the

application

of

the

timer

is

becoming

more

and

more

widely.But

the

traditional

timer

are

using

spring

motor

type,

motor

drive

type

or

clock

type

mechanical

timer,

etc.Electronic

timer

timer

relative

labor

pain,

small

volume,

light

weight,

low

cost,

high

precision,

long

life,

and

safe

and

reliable,

convenient

adjustment,

suitable

for

frequent

use.This

design

adopts

the

51

single-chip

microcomputer

as

the

core,

this

paper

expounds

the

working

principle

of

the

system,

the

software

flow

is

given.Meet

the

electronic

timer

to

control

the

power

of

the

electrical

appliances,

at

the

same

time

to

facilitate

users

to

the

operation

of

the

electronic

timer.Maximum

time

can

reach

24

h.KeywordsTimer;MCU;digital

circuit;1引言1.1研究背景與應(yīng)用隨著時(shí)代的進(jìn)步,定時(shí)器的應(yīng)用越來越廣泛,電子定時(shí)器在工業(yè)中經(jīng)常用于延時(shí)自動(dòng)開關(guān)、定時(shí)、報(bào)警。在家用電器中經(jīng)常用于延時(shí)自動(dòng)開關(guān)、定時(shí)。延時(shí)自動(dòng)開關(guān)可用于電視機(jī)、收音機(jī)、錄音機(jī)、催眠器、門燈、路燈、汽車頭燈、轉(zhuǎn)彎燈以及其他電器的延時(shí)斷電和延時(shí)自停電源。定時(shí)可用于照相定時(shí)曝光、定時(shí)閃光、定時(shí)調(diào)速、定時(shí)烘箱、冰箱門定時(shí)報(bào)警、定時(shí)水位報(bào)警、延時(shí)催眠器、延時(shí)電鈴、延時(shí)電子鎖、觸摸定時(shí)開關(guān)等等,例如數(shù)控機(jī)床的定時(shí)器,在工作一段時(shí)間后便能自動(dòng)切斷電源停止工作。電子技術(shù)的高速開展,單片機(jī)在國民經(jīng)濟(jì)的個(gè)人領(lǐng)域得到了廣泛的運(yùn)用。單片機(jī)以體積小、功能全、性價(jià)比高等諸多優(yōu)點(diǎn),在工業(yè)控制、家用電器、通信設(shè)備、信息處理、尖端武器等各種測控領(lǐng)域的應(yīng)用中獨(dú)占鰲頭,單片機(jī)開發(fā)技術(shù)已成為電子信息、電氣、通信、自動(dòng)化、機(jī)電一體化等專業(yè)技術(shù)人員必須掌握的技術(shù)。定時(shí)器主要由顯示電路、輸入設(shè)備、單片機(jī)最小系統(tǒng)電路和報(bào)警電路組成,但并不是所有的定時(shí)器都有顯示和報(bào)警功能,有許多功能簡單的定時(shí)器,比方洗衣機(jī)的內(nèi)部定時(shí)器就沒有顯示功能,也有一些家用電器沒有報(bào)警功能,都是自動(dòng)定時(shí)到一定時(shí)間后自動(dòng)關(guān)閉電源。還有很多定時(shí)器用途單一,只適合一種電器的應(yīng)用。傳統(tǒng)的定時(shí)器,通過按鍵輸入定時(shí)值,而隨著開展,定時(shí)器的應(yīng)用也會(huì)越來越廣泛,各種智能化的性能也開始逐步實(shí)現(xiàn)。在電子技術(shù)飛速開展的今天,電子產(chǎn)品的人性化和智能化已經(jīng)非常成熟,其開展前景仍然不可估量。如今的人們需求的是一種能給自己帶來方便的電子產(chǎn)品,當(dāng)然最好是人性化和智能化的,如何能做到智能化呢?單片機(jī)的引入就是一個(gè)很好的例子。單片機(jī)又稱單片微型計(jì)算機(jī),也稱為微控制器,是微型計(jì)算機(jī)的一個(gè)重要分支,單片機(jī)是20世紀(jì)70年代中期開展起來的一種大規(guī)模集成電路芯片,是集CPU,RAM,ROM,I/O接口和中斷系統(tǒng)于同一硅片上的器件。單片機(jī)的誕生標(biāo)志著計(jì)算機(jī)正式形成了通過計(jì)算機(jī)系統(tǒng)和嵌入式計(jì)算機(jī)系統(tǒng)兩個(gè)分支。目前單片機(jī)已滲透到我們生活的各個(gè)領(lǐng)域,幾乎很難找到哪個(gè)領(lǐng)域沒有單片機(jī)的蹤跡。單片機(jī)已在廣闊的計(jì)算機(jī)應(yīng)用領(lǐng)域中表現(xiàn)得淋漓盡致電器因此,單片機(jī)已成為電子類工作者必須掌握的專業(yè)技術(shù)之一。單片機(jī)就是一個(gè)微型中央處理器,通過編程即能完成很多智能化的工作,因此它的出現(xiàn)給電子技術(shù)智能化和微型化起到了很大的推動(dòng)作用。單片機(jī)的應(yīng)用有利于產(chǎn)品的小型化、多功能化和智能化,有助于提高勞動(dòng)效率,減輕勞動(dòng)強(qiáng)度,提高產(chǎn)品質(zhì)量,改善勞動(dòng)環(huán)境,減少能源和材料消耗,保證平安等。但是,單片機(jī)應(yīng)用的意義絕不僅限于它的廣闊范圍以及所帶來的經(jīng)濟(jì)效益上,更重要的意義還在于:單片機(jī)的應(yīng)用正從根本上改變著傳統(tǒng)的控制系統(tǒng)設(shè)計(jì)思想和設(shè)計(jì)方法。從前必須有模擬電路或數(shù)字電路實(shí)現(xiàn)的大局部功能,現(xiàn)在已能使用單片機(jī)通過軟件〔編程〕方法實(shí)現(xiàn)了。這種以軟件取代硬件并提高系統(tǒng)性能的控制系統(tǒng)“軟化〞技術(shù),稱之為微控制技術(shù)。微控制技術(shù)是一種全新的概念,是對傳統(tǒng)控制技術(shù)的一次革命。隨著單片機(jī)應(yīng)用的推廣普及,微控制技術(shù)必將不斷開展、日益完善和更加充實(shí)。單片機(jī)具有體積小、功耗低、微型化和使用方便等優(yōu)點(diǎn),廣泛應(yīng)用于儀器儀表中,結(jié)合不同類型的傳感器,可實(shí)現(xiàn)諸如電壓、功率、頻率、濕度、溫度等物理量的測量。采用單片機(jī)控制使得儀器儀表數(shù)字化、智能化、微型化,且功能比起采用電子或數(shù)字電路更加強(qiáng)大。例如精密的測量設(shè)備〔功率計(jì),示波器,各種分析儀〕。1.2主要研究內(nèi)容本文設(shè)計(jì)的定時(shí)開關(guān)電源插座電路系統(tǒng)[1]主要是利用單片機(jī)P89V51RD2FN作為主控制元件,通過外圍電路控制可控開關(guān)的通斷以到達(dá)定時(shí)開、關(guān)的目的。P89V51具有體積小、功能強(qiáng)大、運(yùn)行速度快、價(jià)格低廉等優(yōu)點(diǎn),非常適合制作集成度較高的控制電路。通過鍵盤鍵入程序控制可控開關(guān)和譯碼器來實(shí)現(xiàn)數(shù)碼管的顯示。主板電路包括MCUP89V51、鍵盤與顯示、輸入與輸出口、可控開關(guān)和穩(wěn)壓等電路組成。本次設(shè)計(jì)的主要研究方向以及技術(shù)關(guān)鍵如下:〔1〕合理選取定時(shí)器方案,提高系統(tǒng)的精度;〔2〕交直流電壓轉(zhuǎn)換;〔3〕多路優(yōu)先譯碼器的選取及擴(kuò)展;〔4〕與微機(jī)連接進(jìn)行程序的匯編輸入,實(shí)現(xiàn)對定時(shí)功能的調(diào)試;〔5〕顯示局部中數(shù)碼管的四位一體共陰接法。主要技術(shù)指標(biāo)如下:〔1〕具有電子鐘功能,顯示為四位數(shù)〔2〕可設(shè)定定時(shí)起動(dòng)〔開始〕時(shí)間與定時(shí)結(jié)束〔關(guān)斷〕時(shí)間〔3〕定時(shí)開始,指示燈亮;定時(shí)結(jié)束,指示燈滅〔4〕定時(shí)范圍可以選擇〔5〕開關(guān)次數(shù):≥2次/天〔6〕時(shí)鐘日差:≤±2秒/天〔7〕工作溫度范圍:-10o~50o〔8〕工作條件:AC220V,10A,50Hz〔9〕使用范圍:辦公室電源開關(guān)、實(shí)驗(yàn)室電源開關(guān)等〔10〕插頭插座孔型:插頭國標(biāo)三扁型2系統(tǒng)根本方案的選擇與論證2.1本設(shè)計(jì)方案思路單片機(jī)雖然種類繁多,但每片單片機(jī)內(nèi)部結(jié)構(gòu)都大同小異,均由控制器、運(yùn)算器、存儲器、輸入端口、輸出端口等組成。各個(gè)廠商制成了多種型號的單片機(jī)。任何一種單片機(jī)不管功能如何強(qiáng)大,都是通過其I/O口來發(fā)輝作用的,用戶可根據(jù)所需來選擇單片機(jī)的型號,引腳最少從8腳到近百腳的都有。本設(shè)計(jì)實(shí)現(xiàn)通過定時(shí)電路來控制電源插座開關(guān)的通斷,和時(shí)鐘電路的顯示為主要目的;以時(shí)鐘信號的檢測,信號控制,信號譯碼和數(shù)據(jù)顯示為主要設(shè)計(jì)內(nèi)容。本設(shè)計(jì)用單片機(jī)設(shè)計(jì)的體積小巧的定時(shí)器來控制電源開關(guān)插座的通電和斷電,并還能作為一臺數(shù)字鐘使用實(shí)現(xiàn)時(shí)間顯示。根據(jù)需要選用了一片40條引腳的P89V512FN單片機(jī)[6],屬于飛利浦80C51系列單片機(jī),帶64KB閃存和1024字節(jié)RAM。并且P89V51系列單片機(jī)內(nèi)部包含64位FLASH的ISP〔在線可編程系統(tǒng)〕和IAP〔在應(yīng)用編程〕。其設(shè)計(jì)的幾個(gè)根本模塊如下列圖2.1,包括:插頭插座(孔型),控制開關(guān),電平轉(zhuǎn)換器,單片機(jī)系統(tǒng),顯示電路局部[5]。本次系統(tǒng)根本方案的選擇主要包括可控開關(guān)的選擇,時(shí)鐘信號的實(shí)現(xiàn),譯碼方案的選擇,單片機(jī)芯片的選擇。三三扁平插座可控開關(guān)單片機(jī)芯片LED顯示AC/DC變壓器鍵盤220V50Hz圖2.1定時(shí)開關(guān)電源插座的設(shè)計(jì)原理框圖2.2可控開關(guān)設(shè)計(jì)的選擇本設(shè)計(jì)中的定時(shí)操作是通過可控開關(guān)收到外部電路的控制信號后延時(shí)通斷的。其中延時(shí)實(shí)現(xiàn)方式分類一般按常規(guī)可分為以下幾種:a、通電延時(shí);b、接通延時(shí);c、斷電延時(shí);d、斷開延時(shí);e、〔間隔〕定時(shí);f、往復(fù)延時(shí);g、星三角啟動(dòng)延時(shí);h、程序式延時(shí)。方案一:普通晶閘管一種以硅單晶為根本材料P1N1P2N2四層三端器件,由于它特性類似于真空閘流管,所以國際上通稱為硅晶體閘流管,簡稱可控硅T。又由于可控硅最初應(yīng)用于可控整流方面所以又稱為硅可控整流元件,簡稱為可控硅SCR。在性能上,可控硅不僅具有單向?qū)щ娦?,而且還具有比硅整流元件〔俗稱“死硅〞〕更為可貴的可控性。它只有導(dǎo)通和關(guān)斷兩種狀態(tài)普通可控硅在電路中最根本的用途就是可控整流。大家熟悉的二極管整流電路屬于不可控整流電路。如果把二極管換成可控硅,就可以構(gòu)成可控整流電路。方案二:繼電器繼電器是一種電子控制器件,它具有控制系統(tǒng)〔又稱輸入回路〕和被控制系統(tǒng)〔又稱輸出回路〕,通常應(yīng)用于自動(dòng)控制電路中,它實(shí)際上是用較小的電流去控制較大電流的一種“自動(dòng)開關(guān)〞。故在電路中起著自動(dòng)調(diào)節(jié)、平安保護(hù)、轉(zhuǎn)換電路等作用。它是一種當(dāng)輸入量〔電、磁、聲、光、熱〕到達(dá)一定值時(shí),輸出量將發(fā)生跳躍式變化的自動(dòng)控制器件。其中電磁繼電器是在輸入電路內(nèi)電流的作用下,由機(jī)械部件的相對運(yùn)動(dòng)產(chǎn)生預(yù)定響應(yīng)的一種繼電器。電磁繼電器的工作原理和特性如下:電磁式繼電器一般由鐵芯、線圈、銜鐵、觸點(diǎn)簧片等組成的。只要在線圈兩端加上一定的電壓,線圈中就會(huì)流過一定的電流,從而產(chǎn)生電磁效應(yīng),銜鐵就會(huì)在電磁力吸引的作用下克服返回彈簧的拉力吸向鐵芯,從而帶動(dòng)銜鐵的動(dòng)觸點(diǎn)與靜觸點(diǎn)〔常開觸點(diǎn)〕吸合。當(dāng)線圈斷電后,電磁的吸力也隨之消失,銜鐵就會(huì)在彈簧的反作用力返回原來的位置,使動(dòng)觸點(diǎn)與原來的靜觸點(diǎn)〔常閉觸點(diǎn)〕吸合。這樣吸合、釋放,從而到達(dá)了在電路中的導(dǎo)通、切斷的目的。對于繼電器的“常開、常閉〞觸點(diǎn),可以這樣來區(qū)分:繼電器線圈未通電時(shí)處于斷開狀態(tài)的靜觸點(diǎn),稱為“常開觸點(diǎn)〞;處于接通狀態(tài)的靜觸點(diǎn)稱為“常閉觸點(diǎn)〞。還可選用時(shí)間繼電器,時(shí)間繼電器是一種利用電磁原理和機(jī)械原理實(shí)現(xiàn)延時(shí)控制的控制電器,一般可分為通電延時(shí)型和斷電延時(shí)型兩種類型。斷電延時(shí)時(shí)間繼電器里有延時(shí)常開接點(diǎn)、延時(shí)常閉接點(diǎn)、瞬時(shí)常開接點(diǎn)和瞬時(shí)常閉接點(diǎn)。其原理如下:當(dāng)斷電延時(shí)時(shí)間繼電器的“線圈〞斷電開接點(diǎn)—時(shí),延時(shí)常開接點(diǎn)——經(jīng)過設(shè)定時(shí)間后斷開;延時(shí)常閉接點(diǎn)——經(jīng)過設(shè)定時(shí)間后接通;瞬時(shí)常開接點(diǎn)——立刻斷開;瞬時(shí)常閉接點(diǎn)——立刻接通。方案選?。嚎煽毓鑳?yōu)點(diǎn)如下:無觸點(diǎn),開斷無涌流,開端速度快,可以控制過零開斷。缺點(diǎn):本錢高,控制相對復(fù)雜,容量小,功耗大,發(fā)熱嚴(yán)重;繼電器優(yōu)點(diǎn):技術(shù)成熟可靠,觸點(diǎn)容量相對較大,本錢低,幾乎零功耗,發(fā)熱量小。缺點(diǎn):開斷時(shí)會(huì)產(chǎn)生涌流,由于反響稍慢,無法用于很精細(xì)開斷控制電路中,如移向調(diào)壓等。綜合考慮兩種可控開關(guān)各自的優(yōu)缺點(diǎn),在本設(shè)計(jì)中最終是采用電磁繼電器通斷主電路,主要是考慮到繼電器結(jié)構(gòu)簡單,消耗電能少體積小,本錢低,且控制時(shí)動(dòng)作快、可靠性好。這類繼電器在制成電燈定時(shí)節(jié)電開關(guān)或電風(fēng)扇的定時(shí)并調(diào)速開關(guān)或電熱器的定時(shí)并調(diào)溫開關(guān),或電燈的定時(shí)并調(diào)光開關(guān)或通用的定時(shí)并調(diào)壓插座都有廣泛的應(yīng)用。2.3時(shí)鐘信號的實(shí)現(xiàn)本設(shè)計(jì)實(shí)現(xiàn)通過定時(shí)電路來控制電源插座開關(guān)的通斷,和時(shí)鐘電路的顯示為主要目的;以時(shí)鐘信號的檢測,信號控制,信號譯碼和數(shù)據(jù)顯示為主要設(shè)計(jì)內(nèi)容。定時(shí)器是本設(shè)計(jì)系統(tǒng)中的重點(diǎn),時(shí)間控制器(即定時(shí)器)既可以通過純硬件實(shí)現(xiàn),也可以通過軟硬件結(jié)合實(shí)現(xiàn),根據(jù)時(shí)間控制器的核心部件—秒信號的產(chǎn)生原理,通常有四種形式,如下所述。方案一:采用石英鐘專用芯片的實(shí)現(xiàn)形式采用石英鐘專用芯片的實(shí)現(xiàn)的時(shí)間控制器,具有實(shí)現(xiàn)簡單、計(jì)時(shí)精度高的特點(diǎn)。石英計(jì)時(shí)芯片比擬多,常用的型號有STP5512F、SM5546A和D60400等。如結(jié)合利用5512F的2秒輸出信號作為秒信號電路的計(jì)數(shù)脈沖,可實(shí)現(xiàn)電子時(shí)鐘。方案二:采用NE555時(shí)基電路的實(shí)現(xiàn)形式采用NE555時(shí)基電路或其他振蕩電路產(chǎn)生秒脈沖信號,作為秒加法電路的時(shí)鐘信號或微處理器的外部中斷輸入信號,可構(gòu)成時(shí)間控制器。由555構(gòu)成的秒脈沖發(fā)生器電路[2]。輸出的脈沖信號Vo的頻率f=1.443/〔Ra+2Rb〕*C,可以通過調(diào)節(jié)這3個(gè)參數(shù),使輸出Vo的頻率為精確的1HZ。但這類定時(shí)器精度低,脈沖周期由外接的電阻和電容決定,常用于旋轉(zhuǎn)燈光控制等。方案三:采用單片機(jī)常用的時(shí)鐘芯片通常采用并行的實(shí)時(shí)時(shí)鐘芯片計(jì)時(shí)、EEPROM作為存儲器,但對一些微小型智能控制設(shè)備而言,并行實(shí)時(shí)時(shí)鐘芯片封裝形式大,再加上EEPROM,占用擴(kuò)展線多,使電路結(jié)構(gòu)很難進(jìn)一步簡化。Dallas公司生產(chǎn)的串行實(shí)時(shí)時(shí)鐘芯片DS1302[3]具有實(shí)時(shí)時(shí)鐘和靜態(tài)RAM,采用串行通信,可方便地與單片機(jī)接口。除了在工業(yè)控制中使用外,還可以應(yīng)用到一般的時(shí)鐘計(jì)數(shù)上。方案四:用軟件來實(shí)現(xiàn)定時(shí)。通常利用單片機(jī)或多媒體或PLC內(nèi)部的定時(shí)器,編寫大量的源程序來設(shè)計(jì),常稱為軟件定時(shí)器。電子定時(shí)器[4]可用一般數(shù)字電路搭建而成,一臺四位數(shù)的定時(shí)器要用十多片數(shù)字電路組成,電路結(jié)構(gòu)復(fù)雜、體積龐大,而且功能有一定的局限性。在進(jìn)行定時(shí)電路設(shè)計(jì)時(shí),如果需要定時(shí)的時(shí)間不是很精確且時(shí)間較短的話,往往采用555定時(shí)集成電路來實(shí)現(xiàn)。然而,假設(shè)需要定時(shí)的時(shí)間較長(如1小時(shí)以上)那么采用專用的集成電路定時(shí)器比擬方便[5],而且使用定時(shí)器專用集成電路所設(shè)計(jì)的應(yīng)用電路比擬簡單,同時(shí)調(diào)試也比擬容易。本設(shè)計(jì)采用單片機(jī)作為主硬件電路,外圍電路簡單,配合軟件設(shè)計(jì),使用其靈活的編程實(shí)現(xiàn)定時(shí),譯碼和時(shí)間顯示等,使定時(shí)器插座可有更多的擴(kuò)展功能選擇。方案選取:在單片機(jī)的內(nèi)部RAM中,需要設(shè)置顯示緩沖區(qū),顯示的時(shí)分秒值是從顯示緩沖區(qū)中取出的,在RAM中設(shè)置四個(gè)單元作為顯示緩沖區(qū),分別是7AH、7BH、7CH。為使電路和原理表達(dá)方便,我們這里不顯示秒值,秒的進(jìn)位我們通過閃爍分值實(shí)現(xiàn)。這樣我們一共有四位LED分別顯示時(shí)和分值,同時(shí)時(shí)鐘都需要校準(zhǔn)的。在程序中還需設(shè)置顯示碼表,要顯示的數(shù)值通過查表指令將顯示用的真正碼值送到LED上。我們用單片P89V51RD2FN[7]的P1.5,P3.6和P3.7這三個(gè)I/O口外接微動(dòng)開關(guān)來實(shí)現(xiàn)時(shí)和分的校正,其中P1.5實(shí)現(xiàn)每按一次小時(shí)或分值加1,連續(xù)按下數(shù)值累計(jì)下去,實(shí)現(xiàn)時(shí)鐘的校準(zhǔn)。時(shí)鐘的最小計(jì)時(shí)單位是秒,但使用單片機(jī)定時(shí)器來進(jìn)行計(jì)時(shí),假設(shè)使用6.0MHz的晶振,即使按工作方式1工作,最大的計(jì)時(shí)時(shí)間也只能到131ms,所以我們可把每個(gè)定時(shí)時(shí)間取125ms,這樣定時(shí)器溢出8次〔125ms×8=1000ms〕就得到最小的計(jì)時(shí)單位秒。而要實(shí)現(xiàn)8次計(jì)數(shù)用軟件方法實(shí)現(xiàn)是輕而易舉的。我們使用定時(shí)器1,以工作方式1工作,定時(shí)器進(jìn)行125ms定時(shí)。采用中斷方法進(jìn)行溢出次數(shù)的累計(jì),當(dāng)計(jì)滿8次即得到1秒的計(jì)時(shí)。一個(gè)時(shí)鐘的計(jì)時(shí)累加,要實(shí)現(xiàn)分、時(shí)的進(jìn)位,要用到多種進(jìn)制,秒、分、時(shí)中的進(jìn)位是十進(jìn)制,秒向分進(jìn)位和分向時(shí)進(jìn)位卻是六十進(jìn)制,而每天又有十二小時(shí)制或二十四小時(shí)制,它們分別又是十二進(jìn)制和二十四進(jìn)制。從秒到分和從分到小時(shí)可以通過軟件累加和數(shù)值比擬方法實(shí)現(xiàn)。2.4譯碼方案的選擇本設(shè)計(jì)顯示電路可分為三大塊:鍵盤電路,輸入端譯碼電路和輸出控制端數(shù)碼顯示電路。顯示電路端譯碼通過硬件譯碼或軟件譯碼都可以實(shí)現(xiàn)。方案一:硬件譯碼上面的三大顯示模塊和顯示段碼完全由硬件電路設(shè)計(jì)實(shí)現(xiàn),具體的硬件電路。對于相應(yīng)的本系統(tǒng)中單片機(jī),我們可選用簡化的51系列單片機(jī)AT89C2051[7]〔20管腳〕,顯示電路主要由七段共陽顯示譯碼器74LS47、3線-8線譯碼器74LS138、4個(gè)PNP型三極管和四個(gè)數(shù)碼管組成。通過AT89C2051的P1.4~P1.7口將要顯示字符的BCD碼輸出到74LS47的四個(gè)輸人端,然后譯碼并輸出相應(yīng)的筆段來驅(qū)動(dòng)LED數(shù)碼管(共陽)。電路圖中數(shù)碼管的低電平段選信號由P1口的P1.0-P1.6輸出,其真值表見下表2.1。P1.7輸出秒閃爍信號,P3口的P3.0、P3.1輸出位選信號給74LS138。74LS138被選中的端口輸出低電平,PNP三極管導(dǎo)通,對應(yīng)的數(shù)碼管點(diǎn)亮。P3.2、P3.3、P3.4、P3.5分別作“調(diào)時(shí)〞、“調(diào)分〞、“定時(shí)開〞、“定時(shí)減〞的功能按鈕開關(guān),P3.7輸出控制信號,使雙向可控開關(guān)通電或斷電,控制“輸出插座〞接通或斷開220V交流電,從而控制外接電器的工作狀態(tài)。其中A/D轉(zhuǎn)換器采用寬工作電壓,單輸入通道,串行I/O接口8位A/D轉(zhuǎn)換器TLC548CP。表2.10-9顯示真值表顯示字符p1口〔p1.7-p1.0〕16進(jìn)制數(shù)0001111113F100000110062010110115B3010011114F401100110665011011016D6011111017D700000111078011111117F9011011116F其中P3.2、P3.3、P3.4、P3.5分別作“調(diào)時(shí)〞、“調(diào)分〞、“定時(shí)開〞、“定時(shí)減〞的功能按鈕開關(guān);P3.7輸出控制信號,使繼電器線圈通電或斷電,控制“輸出插座〞接通或斷開220V交流電,從而控制外接電器的工作狀態(tài)。數(shù)碼管選用四位一體共陽接法,每個(gè)數(shù)碼管由7段筆劃組成,每段筆劃由一只數(shù)碼管點(diǎn)亮,其管壓降為1.7-2.2V、電流5-20毫安。LED數(shù)碼管顯示采用動(dòng)態(tài)掃描方式,見下列圖2.2。即在某一時(shí)刻,只有一個(gè)數(shù)碼管被點(diǎn)亮。數(shù)碼管的位選信號由AT89C2051的P3.3~P3.5輸出,并經(jīng)74LSl38譯碼后通過三極管放大,以驅(qū)動(dòng)相應(yīng)的數(shù)碼管。LED數(shù)碼管具有低電壓小電流情況下發(fā)光,能與cmos以及l(fā)tl電路很好的兼容。發(fā)光響應(yīng)時(shí)間短〔<0.1μs〕,高頻特性好,單色性好,亮度高。體積小重量清,有很好的抗沖擊能力。壽命長,壽命高達(dá)10萬小時(shí)以上,甚至可以到達(dá)100萬小時(shí)。本錢低可以廣泛的使用在各種電子儀器儀表上。本設(shè)計(jì)譯碼電路選取74LS138譯碼集成芯片,其管腳分布如下列圖2.3,用來驅(qū)動(dòng)4個(gè)LED,從功能表上可以看出它的輸出只有一個(gè)低電平,也就是可以用來用灌電流的方式進(jìn)行驅(qū)動(dòng)LED,工作電壓Vcc=5V,輸出可以直接連接LED,沒有帶來不穩(wěn)定因素,當(dāng)然實(shí)際中應(yīng)該串接保護(hù)電阻,估計(jì)常用的200-300歐姆都可以。圖2.2LED動(dòng)態(tài)掃描電路圖2.374LS138的管腳分布圖從總的設(shè)計(jì)可以看出,單片機(jī)的控制輸出是通過P3.0~P3.2口完成的。當(dāng)程序開始時(shí),這三個(gè)口的輸出狀態(tài)都是低電平,AT89C2051通過程序查詢?nèi)份敵龅腛N或OFF狀態(tài)預(yù)置時(shí)間是否已到,假設(shè)時(shí)間到,那么改變相應(yīng)的輸出狀態(tài),以完成對外部電路的控制。方案二:軟件譯碼即上面的三大顯示模塊和顯示段碼完全由軟件設(shè)計(jì)實(shí)現(xiàn)。對于硬件譯碼來說,擴(kuò)展多片的外部程序存儲器采用多片的ROM擴(kuò)展時(shí),其片選信號CS的處理方法假設(shè)采用全硬件實(shí)現(xiàn),優(yōu)點(diǎn)是擴(kuò)展的各個(gè)EPROM的地址空間可以是連續(xù)的,能得到64K的完整空間;缺點(diǎn)是電路結(jié)構(gòu)復(fù)雜,需附加譯碼器電路,常用的如上面提到的74138。由于單片機(jī)本身具有較強(qiáng)的邏輯控制能力,采用軟件譯碼并不復(fù)雜。其譯碼邏輯可以隨意編程設(shè)定,不受硬件邏輯限制,同時(shí)還能簡化硬件電路結(jié)構(gòu)。因此,在單片機(jī)應(yīng)用系統(tǒng)中使用非常廣泛。綜上,本設(shè)計(jì)LED譯碼和顯示模塊就是采用軟件譯碼實(shí)現(xiàn),程序編寫用C語言。作為一種結(jié)構(gòu)化的程序設(shè)計(jì)語言,C語言的特點(diǎn)就是可以使你盡量少地對硬件進(jìn)行操作,具有很強(qiáng)的功能性、結(jié)構(gòu)性和可移植性,常常被優(yōu)選作為單片機(jī)系統(tǒng)的編程語言。用C編寫程序比匯編更符合人們的思考習(xí)慣,開發(fā)者可以擺脫與硬件無必要的接觸,更專心的考慮功能和算法而不是考慮一些細(xì)節(jié)問題,這樣就減少了開發(fā)和調(diào)試的時(shí)間。C語言具有良好的程序結(jié)構(gòu),適用于模塊化程序設(shè)計(jì),因此采用C語言設(shè)計(jì)單片機(jī)應(yīng)用系統(tǒng)程序時(shí),首先要盡可能地采用結(jié)構(gòu)化的程序設(shè)計(jì)方法,將功能模塊化,由不同的模塊完成不同的功能,這樣可使整個(gè)應(yīng)用系統(tǒng)程序結(jié)構(gòu)清晰,易于調(diào)試和維護(hù)。不同的功能模塊,分別指定相應(yīng)的入口參數(shù)和出口參數(shù),對于一些要重復(fù)調(diào)用的程序一般把其編成函數(shù),這樣可以減少程序代碼的長度,又便于整個(gè)程序的管理,還可增強(qiáng)可讀性和移植性。3硬件設(shè)計(jì)本設(shè)計(jì)的硬件電路包括單片機(jī)P89V512FN電路、鍵盤輸入與數(shù)碼顯示輸出、信號輸入與輸出口、三孔扁平插座,可控開關(guān)和穩(wěn)壓器等電路組成。具體主要有三個(gè)模塊:單片機(jī)控制數(shù)碼顯示模塊;插座串接繼電器模塊;AC/DC5V輸出穩(wěn)壓模塊〔如下列圖3.1〕。隨著外加220V/50HZ的交流電加到插座的同時(shí),AC/DC實(shí)現(xiàn)電壓交直流的轉(zhuǎn)換,把220V的交流電變?yōu)?V的直流電用于SRD電磁繼電器的工作電壓??煽亻_關(guān)裝置中的電磁繼電器[8]收到單片機(jī)上下脈沖電平的變化相應(yīng)做出吸合或斷開的指令控制,從而控制插座電源的通斷。而單片機(jī)軟件編程通過串口輸入和USB接口主要實(shí)現(xiàn)鍵盤、LED顯示等各模塊的功能,采用C語言編程,來控制譯碼器譯碼以及數(shù)碼管顯示。本設(shè)計(jì)硬件局部電路圖見附錄1。其中本系統(tǒng)的核心單片機(jī)MCUP89V512FN為40腳600MIL封裝,是CMOS型飛利浦80C51系列單片機(jī),帶有2KB閃存E2PROM型。該單片機(jī)除了少了兩個(gè)并口外,能兼容MCS-51系列單片機(jī)的所有功能,且具備體積小、功能強(qiáng)、運(yùn)行速度快等特點(diǎn)。該電路可通過單片機(jī)的P3.7口連接一個(gè)鍵盤電路來實(shí)現(xiàn)對參數(shù)的人工自由設(shè)定,同時(shí)可通過串口連接4位LED數(shù)碼管,以分別顯示小時(shí)、分鐘和秒。系統(tǒng)定時(shí)啟動(dòng)是通過P3.0口完成的。程序開始時(shí)這三個(gè)口的輸出狀態(tài)都是低電平,P89V512FN通過程序查詢P3.0口輸出ON或OFF的狀態(tài)預(yù)置時(shí)間是否已到,如果已到時(shí)間,那么改變相應(yīng)的輸出狀態(tài),從而完成對外部電路的控制。P89V51RD2的典型特性是它的X2方式選項(xiàng)。利用該特性,設(shè)計(jì)者可使應(yīng)用程序以傳統(tǒng)的80C51時(shí)鐘頻率〔每個(gè)機(jī)器周期包含12個(gè)時(shí)鐘〕或X2方式〔每個(gè)機(jī)器周期包含6個(gè)時(shí)鐘〕的時(shí)鐘頻率運(yùn)行,選擇X2方式可在相同時(shí)鐘頻率下獲得2倍的吞吐量。從該特性獲益的另一種方法是將時(shí)鐘頻率減半來保持特性不變,這樣可以極大地降低EMI。Flash程序存儲器支持并行和串行在系統(tǒng)編程〔ISP〕,ISP允許在軟件控制下對成品中的器件進(jìn)行重復(fù)編程。應(yīng)用固件的產(chǎn)生/更新能力實(shí)現(xiàn)了ISP的大范圍應(yīng)用。5V的工作電壓,操作頻率為0~40MHz。單片機(jī)控制單片機(jī)控制LED模塊AC/DC穩(wěn)壓模塊插座串接繼電器模塊220V輸入P1.7控制信號號220V5V輸出供電圖3.1本設(shè)計(jì)的三大模塊如附錄1,上半局部是數(shù)碼管顯示電路;下半局部是由橋式整流二極管和LM7805組成的AC/DC穩(wěn)壓電路,將220V的交流電壓整流,濾波后輸出直流5V電壓用于單片機(jī)的工作電壓;中間局部是由四個(gè)按扭開關(guān)和單片機(jī)相應(yīng)管腳〔P1.4,P1.5,P3.6,P3.7〕連接,分別對應(yīng)LED時(shí)間顯示模式控制(開關(guān)SW1)、操作控制〔SW5〕、執(zhí)行加鍵〔開關(guān)SW2〕,執(zhí)行減鍵〔開關(guān)SW3〕。此外還有單片機(jī)外接熱敏電阻,復(fù)位鍵〔開關(guān)SW4〕以及蜂鳴器可以用來實(shí)現(xiàn)溫度測量和自動(dòng)溫度報(bào)警。3.1可控開關(guān)電路圖3.2繼電器結(jié)構(gòu)圖繼電器(relay)也是一種電門,但與一般開關(guān)不同,繼電器并非以機(jī)械方式控制,而是一種以電磁力來控制切換方向的電門。當(dāng)線圈通電后,會(huì)使中心的軟鐵核心產(chǎn)生磁性,將橫向的擺臂吸下,而臂的右側(cè)那么迫使電門接點(diǎn)相接,使兩接點(diǎn)形成通路。本設(shè)計(jì)中選用繼電器型號為SRD-05VDC-SL-C,5接腳,如上圖3.2。其中一邊的兩腳工作狀態(tài)分別為銜鐵動(dòng)靜觸點(diǎn)閉合或斷開〔低壓控制電路時(shí));額定工作電壓,即繼電器正常工作時(shí)線圈所需要的電壓,本設(shè)計(jì)中選用的繼電器的額定工作電壓為5V直流電壓。利用直流電流觸發(fā)并控制延時(shí),在延時(shí)過程中可不影響主電路而延時(shí)遞增。在本次設(shè)計(jì)過程中,將繼電器與普通電源插座串接起來,三接點(diǎn)中間的那個(gè)腳腳4接電源插座的火線,另外兩接腳中接腳3接單片機(jī)的控制信號引出腳,另一接腳5和控制信號引出腳連共地端。特別需要注意的是,在焊接繼電器前要用萬用電表測試其五個(gè)管腳以確保正確連接。當(dāng)接腳3和接腳5之間加5V電壓時(shí),接腳4和接腳2導(dǎo)通,電源插座開關(guān)可正常工作;當(dāng)接腳3和接腳5之間電壓為0時(shí),接腳4和接腳1導(dǎo)通,電源插座開關(guān)不工作,從插座正常工作到不工作的這段時(shí)間即為定時(shí)操作,可通過軟件編程設(shè)置定時(shí)〔鬧鈴〕程序?qū)崿F(xiàn)。3.2電平轉(zhuǎn)換電路3.2.1LM7805穩(wěn)壓電路在不同的數(shù)字系統(tǒng)中,其電平標(biāo)準(zhǔn)是不同的。該系統(tǒng)中就包括了220V交流輸入和5V的TTL電平標(biāo)準(zhǔn),要實(shí)現(xiàn)兩個(gè)標(biāo)準(zhǔn)的正常通信,必須進(jìn)行電平轉(zhuǎn)換。該系統(tǒng)采用使用簡單的LM7805芯片。如圖3.3所示電路為輸出電壓+5V、輸出電流1.5A的穩(wěn)壓電源。它由電源變壓器B,橋式整流電路D1~D4,濾波電容C1、C3,防止自激電容C2、C3和一只固定式三端穩(wěn)壓器7805極為簡捷方便地搭成的。圖3.3LM7805穩(wěn)壓電路220V交流市電通過電源變壓器變換成交流低壓,再經(jīng)過橋式整流電路D1~D4和濾波電容C1的整流和濾波,在固定式三端穩(wěn)壓器LM7805的Vin和GND兩端形成一個(gè)并不十分穩(wěn)定的直流電壓(該電壓常常會(huì)因?yàn)槭须婋妷旱牟▌?dòng)或負(fù)載的變化等原因而發(fā)生變化)。此直流電壓經(jīng)過LM7805的穩(wěn)壓和C3的濾波便在穩(wěn)壓電源的輸出端產(chǎn)生了精度高、穩(wěn)定度好的直流輸出電壓。本穩(wěn)壓電源可作為TTL電路或單片機(jī)電路的電源。三端穩(wěn)壓器是一種標(biāo)準(zhǔn)化、系列化的通用線性穩(wěn)壓電源集成電路,以其體積小、本錢低、性能好、工作可靠性高、使用簡捷方便等特點(diǎn),成為目前穩(wěn)壓電源中應(yīng)用最為廣泛的一種單片式集成穩(wěn)壓器件。注意問題:〔1〕7905的引腳定義,-5V輸出電壓上電容的方向〔不過本設(shè)計(jì)只取+5V即可〕;〔2〕7905空載時(shí)測量輸出在6V左右。加上負(fù)載,輸出正常;〔3〕7805驅(qū)動(dòng)電流可達(dá)1A。實(shí)際測量時(shí)運(yùn)行時(shí)電流200~300mA,7805會(huì)發(fā)熱,溫度有50度左右,布線時(shí)該器件的擺放應(yīng)考慮散熱。3.2.2RS232電平轉(zhuǎn)換電路由于本設(shè)計(jì)中的單片機(jī)電路要用到串口輸入,而電腦串口RS232電平是-10V,+10V,P89V51單片機(jī)應(yīng)用系統(tǒng)的信號電壓是TTL電平0,+5V,故需進(jìn)行電平轉(zhuǎn)換。本設(shè)計(jì)采用MAX232EPE[9]進(jìn)行電平轉(zhuǎn)換,該產(chǎn)品是由德州儀器公司〔TI〕推出的一款兼容RS232標(biāo)準(zhǔn)的芯片。該器件包含2驅(qū)動(dòng)器、2接收器和一個(gè)電壓發(fā)生器電路提供TIA/EIA-232-F電平。該器件符合TIA/EIA-232-F標(biāo)準(zhǔn),每一個(gè)接收器將TIA/EIA-232-F電平轉(zhuǎn)換成5-VTTL/CMOS電平;每一個(gè)發(fā)送器將TTL/CMOS電平轉(zhuǎn)換成TIA/EIA-232-F電平。該芯片單5V電源工作,特點(diǎn)是低電源電流,典型值是8mA。3.3單片機(jī)系統(tǒng)電路3.3.1單片機(jī)P89V51簡介單片微型計(jì)算機(jī)作為微型計(jì)算機(jī)的一個(gè)分支,于今它已成為今天工業(yè)控制領(lǐng)域、通訊設(shè)備、信息處理以及日常生活中最廣泛使用的計(jì)算機(jī)。應(yīng)用方面,本設(shè)計(jì)中涉及的電子定時(shí)器也可用一般數(shù)字電路搭建而成,一臺四位數(shù)的定時(shí)器要用十多片數(shù)字電路組成,電路結(jié)構(gòu)復(fù)雜、體積龐大,而且功能有一定的局限性。但如用單片機(jī)制作定時(shí)器[10],外圍電路簡單,用其靈活的編程,使定時(shí)器可有更多的功能選擇。本設(shè)計(jì)中的單片機(jī)芯片P89V51RD2FN是由飛利浦公司生產(chǎn)的,屬于80C51系列單片機(jī)的一類。它為40管腳,工作電壓為5V,片內(nèi)有振蕩器和時(shí)鐘電路,時(shí)鐘電路的頻率范圍從0兆赫至40兆赫,4個(gè)8位并行I/O口,3個(gè)16位定時(shí)器/計(jì)數(shù)器,8個(gè)中斷源與四個(gè)優(yōu)先級,1個(gè)全雙工串行口〔SIO/UART〕,內(nèi)置1個(gè)布爾處理器和1個(gè)布爾累加器〔Cy〕,內(nèi)含64KB的單晶片F(xiàn)lash的ISP〔在線可編程系統(tǒng)〕和IAP〔在應(yīng)用編程〕,可應(yīng)用于設(shè)計(jì)可編程看門狗定時(shí)器。P89V51RD2的典型特性是它的X2方式選項(xiàng)。利用該特性,設(shè)計(jì)者可使應(yīng)用程序以傳統(tǒng)的80C51時(shí)鐘頻率〔每個(gè)機(jī)器周期包含12個(gè)時(shí)鐘〕或X2方式〔每個(gè)機(jī)器周期包含6個(gè)時(shí)鐘〕的時(shí)鐘頻率運(yùn)行,選擇X2方式可在相同時(shí)鐘頻率下獲得2倍的吞吐量。從該特性獲益的另一種方法是將時(shí)鐘頻率減半來保持特性不變,這樣可以極大地降低EMI。Flash程序存儲器支持并行和串行在系統(tǒng)編程〔ISP〕,ISP允許在軟件控制下對成品中的器件進(jìn)行重復(fù)編程。應(yīng)用固件的產(chǎn)生/更新能力實(shí)現(xiàn)了ISP的大范圍應(yīng)用。5V的工作電壓,操作頻率為0~40MHz。芯片管腳圖見下列圖3.4。P0口是一個(gè)8位開漏雙向I/O口。寫入‘1’時(shí)P0口懸浮,可用作高阻態(tài)輸入。P1口是一個(gè)帶內(nèi)部上拉的8位雙向口。寫入‘1’時(shí)P1口被內(nèi)部上拉拉高,可用作輸入。T2:定時(shí)器/計(jì)數(shù)器2的外部計(jì)數(shù)輸入或時(shí)鐘輸出。T2EX:定時(shí)器/計(jì)數(shù)器2捕獲/重裝觸發(fā)和方向控制。ECI:外部時(shí)鐘輸入。PCA的外部時(shí)鐘輸入。CEXO:PCA模塊0的捕獲/比擬外部I/O口。SS:SPI隨機(jī)選擇輸入。圖3.4P89V51RD2FN信號引腳圖CEX1:PCA模塊1的捕獲/比擬外部I/O口。SCK:SPI主機(jī)輸出從機(jī)輸入端。CEX4:PCA模塊4的捕獲/比擬外部I/O口。P2口是一個(gè)帶內(nèi)部上拉的8位雙向口。寫入‘1’時(shí)P2口被內(nèi)部上拉拉高,可用作輸入。用作輸入時(shí),由于內(nèi)部上拉的存在,P2口被外部器件拉低時(shí)將吸收電流〔ILI〕。在取指外部程序存儲器或訪問16位地址〔MOVX@DPTR〕的外部數(shù)據(jù)存儲器時(shí),P2口發(fā)送高位地址。應(yīng)用中P2口利用強(qiáng)內(nèi)部上拉來發(fā)送‘1’。在外部主機(jī)模式編程和校驗(yàn)中,P2口可接收一些控制信號和局部高地址位。P3口:P3口是一個(gè)帶內(nèi)部上拉的8位雙向口。寫入‘1’時(shí)P3口被內(nèi)部上拉拉高,可用作輸入。用作輸入時(shí),由于內(nèi)部上拉的存在,P3口被外部器件拉低時(shí)將吸收電流〔ILI〕。在外部主機(jī)模式編程和校驗(yàn)中,P3口可接收一些控制信號和局部高地址位。RxD:串口輸入。TxD:串口輸出。INT0:外部中斷0輸入。程序選通使能:PSEN是外部程序存儲器的讀選通信號。PSEN在執(zhí)行內(nèi)部程序存儲器的程序時(shí)無效〔高電平〕,執(zhí)行外部程序存儲器時(shí)每個(gè)機(jī)器周期內(nèi)兩次有效,但當(dāng)訪問外部數(shù)據(jù)存儲器時(shí)兩個(gè)有效PSEN脈沖將被跳過。當(dāng)RST輸入引腳的高電平時(shí)間大于10個(gè)機(jī)器周期時(shí),向PSEN腳強(qiáng)制輸入一個(gè)高電平到低電平的跳變將使器件進(jìn)入外部主機(jī)模式編程。3.3.2P89V51RD2的時(shí)鐘電路時(shí)鐘電路是用于產(chǎn)生供單片機(jī)各局部同步工作的時(shí)鐘信號。具體的產(chǎn)生有兩種方法,如下列圖3.5,一是用單片機(jī)內(nèi)部的石英晶體振蕩器外接電容構(gòu)成振蕩電路;二是從外部輸入時(shí)鐘信號。本設(shè)計(jì)時(shí)鐘電路采用的是第一種方法。P89V51RD2的一個(gè)顯著的特點(diǎn)是它有兩種時(shí)鐘模式(X1模式和X2模式)。X1模式下一個(gè)機(jī)器周期時(shí)間為12個(gè)時(shí)鐘周期〔即晶振頻率fosc的倒數(shù)〕,而在X2模式下一個(gè)機(jī)器周期時(shí)間為6個(gè)時(shí)鐘周期,從而可以加速器件的運(yùn)行速度。時(shí)鐘加倍模式只可用于加倍內(nèi)部系統(tǒng)時(shí)鐘和內(nèi)部Flash存儲器〔即EA=1〕。在訪問外部存儲器和外圍器件時(shí)要特別小心,還要注意晶振的輸出〔XTAL2〕是不能加倍的。且時(shí)鐘加倍模式可通過外部編程器或IAP來實(shí)現(xiàn)。當(dāng)該模式被選擇時(shí),F(xiàn)ST存放器的EDC位用來指示6時(shí)鐘模式。此時(shí)FST-Flash狀態(tài)存放器的位分配〔地址:B6H〕,不可位尋址;復(fù)位值:xxxxx0xxB。如下列圖3.6。圖3.5時(shí)鐘電路的兩種實(shí)現(xiàn)(時(shí)鐘電路的參數(shù):頻率范圍0-40MHZ;C1,C220-30pF)圖3.6X2模式下的位分配3.4顯示電路用單片機(jī)驅(qū)動(dòng)LED數(shù)碼管[11]有很多方法,按顯示方式分,有靜態(tài)顯示和動(dòng)態(tài)〔掃描〕顯示,按譯碼方式可分硬件譯碼和軟件譯碼之分。靜態(tài)顯示就是顯示驅(qū)動(dòng)電路具有輸出鎖存功能,單片機(jī)將所要顯示的數(shù)據(jù)送出后就不再管,直到下一次顯示數(shù)據(jù)需要更新時(shí)再傳送一次新數(shù)據(jù),顯示數(shù)據(jù)穩(wěn)定,占用很少的CPU時(shí)間;動(dòng)態(tài)顯示需要CPU時(shí)刻對顯示器件進(jìn)行數(shù)據(jù)刷新,顯示數(shù)據(jù)有閃爍感,占用的CPU時(shí)間多。這兩種顯示方式各有利弊:靜態(tài)顯示雖然數(shù)據(jù)穩(wěn)定,占用很少的CPU時(shí)間,但每個(gè)顯示單元都需要單獨(dú)的顯示驅(qū)動(dòng)電路,使用的硬件較多;動(dòng)態(tài)顯示雖然有閃爍感,占用的CPU時(shí)間多,但使用的硬件少,能節(jié)省線路板空間。硬件譯碼就是顯示的段碼完全由硬件完成,CPU只要送出標(biāo)準(zhǔn)的BCD碼即可,硬件接線有一定標(biāo)準(zhǔn);軟件譯碼是用軟件來完成硬件的功能,硬件簡單,接線靈活,顯示段碼完全由軟件來處理,是目前常用的顯示驅(qū)動(dòng)方式。本設(shè)計(jì)就采用軟件譯碼來實(shí)現(xiàn),且單片機(jī)驅(qū)動(dòng)數(shù)碼管的顯示采用動(dòng)態(tài)掃描顯示的方式。4軟件設(shè)計(jì)4.1總體方案硬件電路一旦決定,可根據(jù)電路的結(jié)構(gòu)編制軟件,并且決定它所應(yīng)到達(dá)的功能。本設(shè)計(jì)用了4位數(shù)碼管及4個(gè)按鍵,根據(jù)既定的目標(biāo)具有定時(shí)及時(shí)鐘的功能。程序應(yīng)在定時(shí)器工作的同時(shí)也要啟動(dòng)時(shí)鐘的時(shí)鐘工作。該系統(tǒng)顯示電路局部的控制信號檢測與數(shù)據(jù)傳送局部,涉及的軟件局部較多,主要是P89V51RD2FN單片機(jī)[12]數(shù)據(jù)串接口通信及通信協(xié)議的程序設(shè)計(jì)。本設(shè)計(jì)中用定時(shí)芯片制作定時(shí)器的關(guān)鍵是從P89V51芯片P1.7端口引出控制信號,隨著P1.7上下電平的變化,通過鍵盤鍵入程序控制實(shí)現(xiàn)繼電器的吸合和上揚(yáng),來控制電源插座通斷以實(shí)現(xiàn)定時(shí)控制目的。即當(dāng)繼電器接腳3和接腳5之間加來自P1.7端口的5V電平信號時(shí),接腳4和接腳2導(dǎo)通,電源插座開關(guān)可正常工作;當(dāng)鍵入定時(shí)程序控制定時(shí)后,接腳3和接腳5之間電平信號為0時(shí),接腳4和接腳1導(dǎo)通,電源插座開關(guān)關(guān)閉。此外如要擴(kuò)展功能,采用單片機(jī)C語言編程可實(shí)現(xiàn)時(shí)間顯示、時(shí)間校準(zhǔn)、溫度顯示和溫度上限報(bào)警、定時(shí)〔鬧鐘〕功能、跑表等各模塊。對于P89V51的程序設(shè)計(jì),由于所需實(shí)現(xiàn)的功能較簡單,采用C語言編譯形式。編譯器采用KeiluVision2[13]。KeiluVision2標(biāo)準(zhǔn)C編譯器為8051微控制器的軟件開發(fā)提供了C語言環(huán)境,同時(shí)保存了匯編代碼高效,快速的特點(diǎn)。C51編譯器的功能不斷增強(qiáng),使你可以更加貼近CPU本身,及其它的衍生產(chǎn)品。Keil編譯器可為人們提供單一而靈活的開發(fā)環(huán)境,C51已被完全集成到Keil的集成開發(fā)環(huán)境中,這個(gè)集成開發(fā)環(huán)境包含:編譯器,匯編器,實(shí)時(shí)操作系統(tǒng),工程管理器,調(diào)試器,KeiluVision2可為它們提供單一而靈活的開發(fā)環(huán)境。4.2主程序流圖本系統(tǒng)的主程序工作過程是首先循環(huán)進(jìn)行四個(gè)數(shù)碼管的掃描顯示[14](DISPLY段),然后比擬所有預(yù)置時(shí)間(COMP段)是否與當(dāng)前時(shí)間相等,如相等那么轉(zhuǎn)向相應(yīng)處理程序。比擬完成(或處理完成)后,再判斷有無按鍵(PP2段)按下,沒有那么返回繼續(xù)顯示、比擬、判斷;有按鍵按下那么轉(zhuǎn)向相應(yīng)的處理程序。按鍵轉(zhuǎn)移采用偏移量加表格跳轉(zhuǎn)轉(zhuǎn)移法(KEY段)。預(yù)置時(shí)間比擬那么采用逐一比擬法,即對每一個(gè)預(yù)設(shè)的值都進(jìn)行比擬,如果相等,那么進(jìn)行相應(yīng)的處理。在具體比擬時(shí)(COMP1段),首先比擬TH值,如不相等,那么直接轉(zhuǎn)出并置“時(shí)間到〞標(biāo)志CCB為0,而如果TH、TM、TS全部對應(yīng)相等,那么置該標(biāo)志為1,其軟件流程見下列圖4.1所示。圖4.1主程序流程圖程序用C語言編寫,定時(shí)和時(shí)鐘計(jì)時(shí)信號由單片機(jī)內(nèi)部定時(shí)器T1產(chǎn)生的毫秒級信號,中斷溢出后在RAM的40H單元中經(jīng)屢次累加輸出1秒信號,作為計(jì)時(shí)的根本計(jì)數(shù)單元。待顯示的數(shù)據(jù)放在以下RAM單元中,(1)時(shí)鐘局部:46H→小時(shí)十位數(shù),45H→小時(shí)個(gè)位數(shù),44H→分鐘十位數(shù),43H→分鐘個(gè)位數(shù);(2)定時(shí)局部:4AH→分鐘十位數(shù),49H→分鐘個(gè)位數(shù),48H→秒十位數(shù),47H→秒個(gè)位數(shù)。通電后,程序初始化使4BH單元被置1,進(jìn)入了同時(shí)翻開定時(shí)器,時(shí)鐘開始走時(shí),顯示→12:00,秒信號在41H中累加60次,向48H單元作加1運(yùn)算,這時(shí)數(shù)碼管將顯示→12:01,滿60分將向小時(shí)進(jìn)位而顯示→1:00。如果按動(dòng)“調(diào)時(shí)〞、“調(diào)分〞鍵即可調(diào)整時(shí)間。4.3中斷模塊說明程序初始化后就進(jìn)入了“定時(shí)中斷〞子程序,其程序流程圖見下列圖4.2。一系列的運(yùn)算是在中斷產(chǎn)生后進(jìn)行的[15],具體的中斷效勞程序見附錄。在程序計(jì)數(shù)運(yùn)算中,小時(shí)應(yīng)作12進(jìn)制或24進(jìn)制運(yùn)算、分鐘要作60進(jìn)制運(yùn)算;而定時(shí)工作時(shí)應(yīng)作99分鐘倒計(jì)時(shí)及的60進(jìn)制倒計(jì)時(shí)減運(yùn)算。圖4.2中斷子程序流程圖5制作與調(diào)試5.1硬件電路的布線與焊接5.1.1總體特點(diǎn)該系統(tǒng)所涉及的各局部硬件電路,總體的特點(diǎn)是:〔1〕電路原理簡單,所用的器件均為常用器件;〔2〕由于路數(shù)較多,電路的規(guī)模較大,因此在制作中只做了8路。因此,應(yīng)合理布線,以降低焊接難度,降低出錯(cuò)率,同時(shí)防止干擾。5.1.2電路劃分與PCB的制作主板的制作與調(diào)試主板的制作稍微復(fù)雜一點(diǎn)。首先是制作印刷板,利用Protel99按照本文所示器件位置圖放置好元器件,然后手動(dòng)布線〔雙面〕,線寬為0.8mm左右,太寬做出的板子太大,太窄無法進(jìn)行自制。繪好印制板圖后轉(zhuǎn)成BMP格式利用電腦刻字機(jī)鏤空〔要用進(jìn)口的即時(shí)貼紙,不然容易斷開〕,貼在雙面敷銅板上,就可以用FeCl3腐蝕了。具體的制作方法這里不再贅述,但最好在印制板布線時(shí)做個(gè)阻焊層,同時(shí)在即時(shí)貼上刻出來,當(dāng)板子制好清洗干凈后敷在上面,用淺綠色油漆或清漆噴上薄薄的一層,好看又防腐蝕。PCB的制作關(guān)鍵是布局和布線的問題,而布局和布線不是截然分開的,布局是為布線效勞,布線為的實(shí)現(xiàn)布局的目標(biāo)。顯然,完成布局不是就不一定能布好線,布局只是布好線的第一步和最根底的一步。接下來的問題是跳線。跳線就要打亂原先畫好的原理圖。一個(gè)元件轉(zhuǎn)個(gè)方向就可能導(dǎo)致布線發(fā)生的改變,兩個(gè)或多個(gè)改變,就更麻煩了。布局過程中的應(yīng)在每一次改變方案之前就有了種種考慮,不但包括改變面談布局后的跳線問題,甚至還包括不行之后的其它考慮都會(huì)在其中。反復(fù)的嘗試,得出一個(gè)合理的布局,盡量做到電路的走線最優(yōu)先、最簡捷、最有效。制板中考前須知如下:〔1〕模擬與數(shù)字電路合理分開,普通信號線容易能以很簡練的方式完成走線?!?〕高速信號線、主信號線可以得到最優(yōu)先、最簡捷、最有效的走線?!?〕電源線在走向上容易形成合理的回路和分支。〔4〕熱設(shè)計(jì)合理,有利于系統(tǒng)日后工作中散熱納涼,發(fā)熱元件較合理地遠(yuǎn)離模擬電路且工作時(shí)不熏烤這些電路?!?〕印刷板的制作特別要注意的是在布線時(shí)對220V市電進(jìn)入和輸出〔包括中線〕的線寬設(shè)計(jì)要寬一點(diǎn)〔根據(jù)工作電流大小來定為好〕,還要注意市電與直流電源的隔離,以免在使用中造成觸電事故。5.1.3焊接元器件購回后應(yīng)先進(jìn)行預(yù)處理〔引腳打磨、上焊錫〕,然后逐一焊接。在焊接MCU和其它集成電路時(shí)應(yīng)使用有良好接地的烙鐵〔斷電焊接也可〕,以免被擊穿。由于雙面印刷板存在一個(gè)穿孔問題,器件引腳穿過后,兩面都要點(diǎn)上焊錫;如只是過孔,可用細(xì)銅線穿過并在兩面焊接后剪掉即可。在印刷板制作良好,連線沒有不應(yīng)有的開路或短路,且焊接沒有虛焊的情況下,不用調(diào)試即可正常工作。焊接前應(yīng)熟悉各芯片的引腳,焊接時(shí)參照電路圖,仔細(xì)地連接引腳。按照以下原那么進(jìn)行焊接:〔1〕先焊接各芯片的電源線和地線,這樣確保各芯片有正確的工作電壓;〔2〕同類的芯片應(yīng)順序焊接,在一片焊接并檢查好之后,其他的同類芯片便可以參照第一片進(jìn)行焊接。這樣便可大大節(jié)省時(shí)間,也可降低出錯(cuò)率。5.2調(diào)試本設(shè)計(jì)調(diào)試通過硬件中的串口接口通信和USB接口連接微機(jī),采用KeiluVision2調(diào)試。uVision2包含一個(gè)器件數(shù)據(jù)庫(devicedatabase),可以自動(dòng)設(shè)置匯編器、編譯器、連接定位器及調(diào)試器選項(xiàng),來滿足用戶充分利用特定微控制器的要求。uVision2編輯器它包含了所有用戶熟悉的特性,彩色語法顯像和文件辯識都對C源代碼進(jìn)行和優(yōu)化。它可以在編輯器內(nèi)調(diào)試程序,能提供一種自然的調(diào)試環(huán)境,使你更快速地檢查和修改程序。5.3改良與擴(kuò)展本設(shè)計(jì)成品中的四個(gè)按鈕開關(guān)控制使用了單片機(jī)P89V51RD2FN的P1.4(模式控制開關(guān)),P1.5(操作控制開關(guān)),P3.6(執(zhí)行加鍵),P3.7(執(zhí)行減鍵)。這四個(gè)按鈕開關(guān)通過軟件設(shè)計(jì)模式控制端可控制時(shí)鐘顯示〔case0〕,時(shí)鐘校準(zhǔn)(case1),跑表顯示〔case2〕,定時(shí)〔鬧鐘〕設(shè)定〔case3〕,顯示溫度〔case4〕。其中定時(shí)〔鬧鐘〕操作,顯示溫度,跑表顯示能,只需在軟件編程時(shí)相應(yīng)參加相應(yīng)的程序,并在硬件電路中相應(yīng)接入熱敏電阻和蜂鳴器即可。當(dāng)然譬如年月日、農(nóng)歷、星期的計(jì)算〔如大月小月、閏年、閏月等〕分別匯編相應(yīng)的子程序插入也可實(shí)現(xiàn)。6結(jié)論本設(shè)計(jì)方案到達(dá)了任務(wù)書的要求,實(shí)現(xiàn)了定時(shí)開關(guān)電源插座的定時(shí),時(shí)間顯示的電子鐘功能,實(shí)現(xiàn)了于今一種較為先進(jìn)且簡單實(shí)用的節(jié)約能源模式的展望。但設(shè)計(jì)中有下面幾個(gè)問題需要注意:〔1〕由于220V強(qiáng)電輸入,在焊接及調(diào)試電路時(shí)要非常小心;〔2〕前端220V交流輸入后經(jīng)LM7805穩(wěn)壓后輸出5V,誤差較大;〔3〕設(shè)計(jì)中選用的單片機(jī)P89V51所用的工作電源是連接計(jì)算機(jī)USB接口的5V電源,如需做成市場上的成品,這一點(diǎn)還需要改良;〔4〕由MCU控制的譯碼采集和串行傳送也調(diào)試實(shí)現(xiàn)〔通過與計(jì)算機(jī)的串口相連,用“串口調(diào)試程序〞調(diào)試〕,信號處理電路通過串口連接到計(jì)算機(jī),應(yīng)用參考書上設(shè)計(jì)的“定時(shí)匯編程序〞軟件進(jìn)行總體調(diào)試,實(shí)現(xiàn)對時(shí)鐘的顯示和定時(shí)。由于時(shí)間、水平和經(jīng)驗(yàn)有限,在硬件的調(diào)試、軟件編碼及抗干擾等方面仍有缺乏之處,有改良的余地,比方電路規(guī)模的精簡,其他的保護(hù)電路,抗干擾處理。這次畢業(yè)設(shè)計(jì)對于我來說,既是一次機(jī)遇,又是一次挑戰(zhàn)。通過這次的畢業(yè)設(shè)計(jì),我學(xué)到了很多東西,通過自己的實(shí)踐,增強(qiáng)了動(dòng)手能力。通過實(shí)際工程的設(shè)計(jì)也使我了解到書本知識和實(shí)際應(yīng)用的差異。在實(shí)際應(yīng)用中遇到很多的問題,這都需要我對問題進(jìn)行具體的分析,并一步一步地去解決它。該系統(tǒng)在進(jìn)行軟件設(shè)計(jì)的時(shí)候,采用模塊化設(shè)計(jì)方法,方便調(diào)試中找出錯(cuò)誤??偟恼f來,在這次設(shè)計(jì)中,學(xué)會(huì)了很多,也收獲了很多,也增強(qiáng)了我們實(shí)際動(dòng)手能力,對各方面都有很大的益處。在論文即將完成之際,我的心情無法平靜,從開始進(jìn)入課題到論文的順利完成,有多少可敬的師長、同學(xué)、朋友給了我很多的幫助,程序有不懂的地方他們都會(huì)一次又一次的給我耐心講解,在這里請接受我誠摯謝意!同時(shí)也感謝學(xué)院為我提供良好的做畢業(yè)設(shè)計(jì)的環(huán)境。最后再一次感謝所有在畢業(yè)設(shè)計(jì)中曾經(jīng)幫助過我的良師益友和同學(xué),以及在設(shè)計(jì)中被我引用或參考的論著的作者。參考文獻(xiàn)[1]魏軍丞.用單片機(jī)制作的定時(shí)開關(guān)控制器.電子世界,2005〔10〕:25-27[2]曾假設(shè)淵.MCS-51定時(shí)器/計(jì)數(shù)器在出租車計(jì)價(jià)器中的應(yīng)用.現(xiàn)代測量與實(shí)驗(yàn)室管理,2005,19(01):24-25[3]崔惠柳.單片機(jī)應(yīng)用技術(shù)選編:串行時(shí)鐘芯片DS1302在汽車智能記錄儀中的應(yīng)用.北京:北京航空航天大學(xué)出版社,1999[4]張曉軍,羅翔,史金飛.基于時(shí)間芯片的定時(shí)控制裝置.現(xiàn)代電子技術(shù),2006,16(01):8-10[5]李響初.基于MCS-51單片機(jī)的智能時(shí)鐘控制系統(tǒng)設(shè)計(jì).世界電子元器件,2007〔4〕:50-52[6]張俊謨編著.SoC單片機(jī)原理與應(yīng)用.北京:北京航空航天大學(xué)出版社,2007[7]吳漢清.基于AT89C2051單片機(jī)的倒計(jì)數(shù)定時(shí)器.單片機(jī)與可編程器件,2005,8(11):28-30[8]田希暉,薛亮儒編著.C51單片機(jī)技術(shù)教程.北京:人民郵電出版社,2007[9]王為青,邱文勛編著.51單片機(jī)應(yīng)用開發(fā)案例精選.北京:人民郵電出版社,2007[10]徐金增;史斐翡.MCS-51軟件消除定時(shí)中斷誤差.電子制作,2007〔10〕:52-53[11]朱蓉,鄭建華.基于MCS-51單片機(jī)定時(shí)精確控制的研究.現(xiàn)代電子技術(shù),2005,28〔17〕:32-34[12]王振宇.基于單片機(jī)設(shè)計(jì)的多功能定時(shí)器.貴州教育學(xué)院學(xué)報(bào)〔自然科學(xué)〕,2005.8,16〔4〕:79-81[13]FastMemoryTransferswiththeUltraHigh-SpeedFlashMicrocontroller..maxim-ic/appnotes.cfm/appnote_number/604[14][15]80C518bit;4628.htm致謝轉(zhuǎn)眼之間就臨近畢業(yè)了,時(shí)間過得真快。大學(xué)是我一生中最難忘的一段時(shí)光,在這里我收獲了很多,成長了很多,總之是獲益匪淺。在這里我首先要感謝我的指導(dǎo)老師彭曉良老師給予我論文寫作過程中無盡的幫助與鼓勵(lì);還要感謝我的親朋好友們一直以來給予我的關(guān)心和幫助,更要感謝對本論文提出修改意見以及在論文辯論過程中給予幫助的朋友。在此,我衷心地向以上人致以深深的謝意與祝福!附錄原理圖主程序時(shí)間顯示及定時(shí)主程序/*********************主函數(shù)*****************************************/voidmain(void){floattemp,j,value;unsignedchartmp;unsignedchartemph;mode=0;operation=0;timer2_initialize();temph=35;do{Wait30us;value=adc_conv(); tmp=value; temp=(float)tmp; j=log(temp/(255-temp)); temp=j/3380+1/298.15; temp=1/temp-273.15; tmp=(unsignedchar)temp;/*******************溫度超過上限報(bào)警********************************/ if(tmp>temph)bell=0; elsebell=1;keys=gotkey();/******************按鍵值*******************************************/switch(keys){case1:mode++;if(mode==5) mode=0;break;case2:operation++; if(operation==2) operation=0;break; default:break;}/*******************模式控制****************************************/switch(mode){case0://顯示時(shí)間display_time(); break;case1://時(shí)間校準(zhǔn)if

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論