時序管理規(guī)劃約束_第1頁
時序管理規(guī)劃約束_第2頁
時序管理規(guī)劃約束_第3頁
時序管理規(guī)劃約束_第4頁
時序管理規(guī)劃約束_第5頁
已閱讀5頁,還剩25頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

時序管理規(guī)劃約束時序約束與時序分析約束的分類時序約束與時序分析基礎Quartus工具運行時序分析設置時序約束的常用方法約束的分類時序約束:規(guī)范設計的時序行為,表達設計者期望滿足的時序要求,指導綜合和布局布線階段的優(yōu)化算法等。區(qū)域與位置約束:用于指定芯片I/O管腳位置以及指導實現(xiàn)工具在芯片指定的物理區(qū)域進行布局布線。其它約束:泛指目標芯片型號、電氣特性等約束屬性。時序約束的基本作用

提高設計的工作頻率:通過附加約束可以控制邏輯的綜合、映射、布局和布線,以減小邏輯和布線延時,從而提高工作頻率。

獲得正確的時序分析報告:FPGA設計平臺都包含靜態(tài)時序分析工具,利用這類工具可以獲得映射或布局布線后的時序分析報告,從而對設計的性能做出評估。

指定FPGA/CPLD引腳位置與電氣標準

1可編程特性使電路板設計加工和FPGA設計可以同時進行,而不必等FPGA引腳位置完全確定,從而節(jié)省了系統(tǒng)開發(fā)時間2通過約束還可以指定IO引腳所支持的接口標準和其他電氣特性設計中常用的時序概念周期最大時鐘頻率時鐘建立時間時鐘保持時間時鐘到輸出延時管腳到管腳延時Slach時鐘偏斜周期與最大時鐘頻率TCLK=TCKO+TLOGIC+TNET+TSETUP-TCLK_SKEWTCLK_SKEW=TCD2-TCD1其中TCKO為寄存器固有的時鐘輸出延遲,TLOGIC為同步元件之間的組合邏輯延遲,TNET為網(wǎng)線延遲,TSETUP寄存器固有的建立時間,TCLK_SKEW為時鐘偏斜Fmax=1/TCLK時鐘保持時間時鐘保持時間是只能保證有效時鐘沿正確采用的數(shù)據(jù)和使能信號的最小穩(wěn)定時間Th=ClockDelay–DataDelay+MicroTh其中MicroTh是指寄存器內(nèi)部的固有保持時間,同樣是寄存器的一個固有參數(shù),典型值小于1~2ns

時鐘到輸出延時從時鐘信號有效沿到數(shù)據(jù)有效的時間間隔。tco=ClockDelay+Microtco+DataDelay

Micortco也是一個寄存器的固有屬性,指的是寄存器相應時鐘有效沿,將數(shù)據(jù)送到輸出端口的內(nèi)部時間參數(shù)管腳到管腳延時tpd指輸入管腳通過純組合邏輯到達輸出管腳這段路徑的延時.特別需要說明的是,要求輸入到輸出之間只有組合邏輯,才是tpd延時由于CPLD的布線矩陣長度固定,所以常用最大管腳到管腳延時標準CPLD的速度等級。

Slack=Requiredclockperiod–Actualclockperiod

Slack=Slackclockperiod–(MicrotCO+DataDelay+MicrotSU)

ClockSkew指一個同源時鐘到達兩個不同的寄存器時鐘端的時間偏移Quartus工具運行時序分析全編譯Processing/start/starttiminganalysis使用Tcl腳本運行時序分析工具時序分析內(nèi)容窗口分析設計在分析報告中,會以升序方式排列出路徑的fmax,利用quaruts一些功能分析到更多的信息。在所選的時序路徑上,單擊鼠標右鍵,彈出一些查看路徑細節(jié)的選項。分別是指定全局時序約束時序驅(qū)動的編譯全局時鐘設置全局的I/O時序設置時序分析和報告選項時序向?qū)r序驅(qū)動的編譯將編繹器設置為時序驅(qū)動編繹,即是指讓編繹過程盡量向著滿足時序約束方向努力!assignment/settings/fittersetting全局的I/O時序設置時序分析和報告選項時序分析和報告選項指定個別時序約束指定個別時鐘要求個別時序約束輸入最大最小延時輸出最大最小延時反相時鐘非時鐘tCO要求(最大、最小)、tSU要求、tH要求、tPD要求剪除時序路徑時序約束的種類單點點到點通配符時序組指定個別時鐘要求時鐘分類:獨立時鐘衍生時鐘個別時鐘約束一獨立時鐘設置Assignment/timingsettings,在彈出的窗口中點擊individualclocks選項個別時鐘約束一衍生時鐘設置輸入最大/最小延時“輸入最大延時”約束指定了外部輸入路徑延時的最差情況。外部延時包含了外部上游器件的tco,加上PCB走線的延時。外部芯片和fpga使用同相位的時鐘信號,因此fpga的輸入數(shù)據(jù)的建立時間需要滿足:tsuA≤tclk-inputmaximumdelay“輸入最小延時”約束指定了外部輸入路徑延時的最小情況。fpga的輸入數(shù)據(jù)的建立時間需要滿足:thA≤inputminimumdelay輸出最大/最小延時“輸出最大延時”約束指定了外部輸出路徑延時的最差情況。外部延時實際上包含了外部下游器件的tsu,加上pcb走線的延時。tcoB≤tclk-outputmaximumdelay;tcoB≥outputminimumdelay反相時鐘其它要求Tco,th,tpd,tsu,都可在assignmenteditor中設置。剪除時序路徑:可指定專門的時序路徑,將其剪除,使其不在時序報告中出現(xiàn)。時序約束的種類在約束設置時,可以使用單點點到點通配符時序分組。單點在一個”輸入最大/最小延時“的設置中,如果對輸入的管

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論