EDA-應(yīng)用VHDL設(shè)計(jì)數(shù)字系統(tǒng)-電子琴和音樂播放器的設(shè)計(jì)_第1頁(yè)
EDA-應(yīng)用VHDL設(shè)計(jì)數(shù)字系統(tǒng)-電子琴和音樂播放器的設(shè)計(jì)_第2頁(yè)
EDA-應(yīng)用VHDL設(shè)計(jì)數(shù)字系統(tǒng)-電子琴和音樂播放器的設(shè)計(jì)_第3頁(yè)
EDA-應(yīng)用VHDL設(shè)計(jì)數(shù)字系統(tǒng)-電子琴和音樂播放器的設(shè)計(jì)_第4頁(yè)
EDA-應(yīng)用VHDL設(shè)計(jì)數(shù)字系統(tǒng)-電子琴和音樂播放器的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

EDA技術(shù)及其應(yīng)用第4章應(yīng)用VHDL設(shè)計(jì)數(shù)字系統(tǒng)

工程1:電子琴的設(shè)計(jì)設(shè)計(jì)目標(biāo):設(shè)計(jì)一個(gè)電子琴,具有8個(gè)按鍵,當(dāng)按下某一個(gè)按鍵的時(shí)候,能夠演奏8個(gè)音符之一:

1、2、3、4、5、6、7、H1視頻演示知識(shí)點(diǎn):熟練掌握計(jì)數(shù)器的設(shè)計(jì)方法;熟練掌握可變分頻器的設(shè)計(jì)方法;熟練掌握IF語(yǔ)句的使用;熟練掌握CASE語(yǔ)句的使用;工程1:電子琴的設(shè)計(jì)工程分析:設(shè)計(jì)問題:如何發(fā)出不同音調(diào)的聲音?需要:可變分頻器〔數(shù)控分配器〕工程1:電子琴的設(shè)計(jì)工程1:電子琴的設(shè)計(jì)工程分析:設(shè)計(jì)關(guān)鍵1:可變分頻器〔數(shù)控分配器〕!工程1:電子琴的設(shè)計(jì)LIBRARY

IEEE;USE

IEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10IS

PORT

(CLK,RST,EN:IN

STD_LOGIC;CNT :OUT

STD_LOGIC_VECTOR(3DOWNTO0);COUT :OUT

STD_LOGIC

);ENDCNT10;知識(shí)回憶:10進(jìn)制計(jì)數(shù)器設(shè)計(jì)工程1:電子琴的設(shè)計(jì)ARCHITECTUREbehavOFCNT10ISBEGINPROCESS(CLK,RST,EN)VARIABLECNTI:STD_LOGIC_VECTOR(3DOWNTO0);BEGINIFRST='1'THENCNTI:=(OTHERS=>'0');--計(jì)數(shù)器異步復(fù)位ELSIFCLK'EVENTANDCLK='1'THEN--檢測(cè)時(shí)鐘上升沿IFEN='1'THEN--檢測(cè)是否允許計(jì)數(shù)〔同步使能〕IFCNTI<9THEN--檢測(cè)是否小于9CNTI:=CNTI+1;--小于9,允許加1計(jì)數(shù)ELSECNTI:=(OTHERS=>‘0’);--大于等于9,計(jì)數(shù)值清零ENDIF;ENDIF;ENDIF;IFCNTI=9THENCOUT<=‘1’;--計(jì)數(shù)等于9,輸出進(jìn)位信號(hào)ELSECOUT<='0';ENDIF;CNT<=CNTI;--將計(jì)數(shù)值向端口輸出ENDPROCESS;ENDbehav;知識(shí)回憶:10進(jìn)制計(jì)數(shù)器設(shè)計(jì)仿真結(jié)果:10進(jìn)制計(jì)數(shù)器設(shè)計(jì)結(jié)論:計(jì)數(shù)器就可以完成分頻器的任務(wù)!工程1:電子琴的設(shè)計(jì)工程1:電子琴的設(shè)計(jì)LIBRARY

IEEE;USE

IEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY

CNT_N

IS

PORT

(CLK,RST,EN:IN

STD_LOGIC;

N :INSTD_LOGIC_VECTOR(11DOWNTO0);

CNT :OUT

STD_LOGIC_VECTOR(11DOWNTO0);COUT :OUT

STD_LOGIC

);END

CNT_N;設(shè)計(jì)關(guān)鍵:N進(jìn)制計(jì)數(shù)器設(shè)計(jì)——可變分頻器工程1:電子琴的設(shè)計(jì)ARCHITECTUREbehavOFCNT_NISBEGINPROCESS(CLK,RST,EN)VARIABLECNTI:STD_LOGIC_VECTOR(11DOWNTO0);BEGINIFRST='1'THENCNTI:=(OTHERS=>'0');--計(jì)數(shù)器異步復(fù)位ELSIFCLK'EVENTANDCLK='1'THEN--檢測(cè)時(shí)鐘上升沿IFEN='1'THEN--檢測(cè)是否允許計(jì)數(shù)〔同步使能〕IFCNTI<N-1THEN--檢測(cè)是否小于N-1CNTI:=CNTI+1;--小于N-1,允許加1計(jì)數(shù)ELSECNTI:=(OTHERS=>'0');--大于等于N-1,計(jì)數(shù)值清零ENDIF;ENDIF;ENDIF;IFCNTI=N-1THENCOUT<='1';--計(jì)數(shù)等于N-1,輸出進(jìn)位信號(hào)ELSECOUT<='0';ENDIF;CNT<=CNTI;--將計(jì)數(shù)值向端口輸出ENDPROCESS;ENDbehav;設(shè)計(jì)關(guān)鍵:N進(jìn)制計(jì)數(shù)器設(shè)計(jì)——可變分頻器設(shè)計(jì)關(guān)鍵:N進(jìn)制計(jì)數(shù)器設(shè)計(jì)——可變分頻器結(jié)論:COUT實(shí)現(xiàn)了N分頻,但是占空比是1/N,能量缺乏,無(wú)法驅(qū)動(dòng)蜂鳴器工作。N分頻,占空1/N工程1:電子琴的設(shè)計(jì)工程1:電子琴的設(shè)計(jì)LIBRARY

IEEE;USE

IEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY

CNT_N

IS

PORT

(CLK,RST,EN:IN

STD_LOGIC;

N :INSTD_LOGIC_VECTOR(11DOWNTO0);

CNT :OUT

STD_LOGIC_VECTOR(11DOWNTO0);COUT :OUT

STD_LOGIC

);END

CNT_N;設(shè)計(jì)關(guān)鍵:改進(jìn)的N進(jìn)制計(jì)數(shù)器——可變分頻器COUT占空比50%工程1:電子琴的設(shè)計(jì)ARCHITECTUREbehavOFCNT_NISBEGINPROCESS(CLK,RST,EN)VARIABLECNTI:STD_LOGIC_VECTOR(11DOWNTO0);BEGINIFRST='1'THENCNTI:=(OTHERS=>'0');--計(jì)數(shù)器異步復(fù)位ELSIFCLK'EVENTANDCLK='1'THEN--檢測(cè)時(shí)鐘上升沿IFEN='1'THEN--檢測(cè)是否允許計(jì)數(shù)〔同步使能〕IFCNTI<N-1THENCNTI:=CNTI+1;--小于N/2,允許加1計(jì)數(shù)ELSECNTI:=(OTHERS=>'0');--大于等于N/2,計(jì)數(shù)值清零ENDIF;ENDIF;ENDIF;IFCNTI<CONV_INTEGER(N)/2THENCOUT<=‘0';ELSECOUT<=‘1';ENDIF;CNT<=CNTI;COUT<=COUTI;--將計(jì)數(shù)值向端口輸出ENDPROCESS;ENDbehav;設(shè)計(jì)關(guān)鍵:改進(jìn)的N進(jìn)制計(jì)數(shù)器——可變分頻器COUT占空比50%占空比非50%占空比接近50%結(jié)論:COUT實(shí)現(xiàn)了N分頻,當(dāng)N比較大時(shí),占空比是接近50%,能量足以驅(qū)動(dòng)蜂鳴器工作。設(shè)計(jì)關(guān)鍵:改進(jìn)的N進(jìn)制計(jì)數(shù)器——可變分頻器COUT占空比50%工程1:電子琴的設(shè)計(jì)工程1:電子琴的設(shè)計(jì)工程分析:設(shè)計(jì)關(guān)鍵2:向可變分頻器〔數(shù)控分配器〕提供分頻數(shù)字,需要一個(gè)“按鍵”到“分頻數(shù)”的轉(zhuǎn)換模塊。工程1:電子琴的設(shè)計(jì)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYconverterIS

PORT

(

key:INSTD_LOGIC_VECTOR(7DOWNTO0);

en:OUTSTD_LOGIC;

div_num:OUTintegerrange0to4095;

CODE:OUTSTD_LOGIC_VECTOR(3DOWNTO0);

HIGH:OUTSTD_LOGIC

);END

entityconverter;設(shè)計(jì)關(guān)鍵2:

“按鍵”到“分頻數(shù)”的轉(zhuǎn)換模塊。工程1:電子琴的設(shè)計(jì)ARCHITECTUREoneOFconverterIS

BEGIN

PROCESS(converter)

BEGIN

CASEkeyIS--譯碼電路,查表方式,控制音調(diào)的預(yù)置數(shù)

WHEN"00000000"=>div_num<=0;en<='0';CODE<="0000";HIGH<='0‘;--不按鍵,0Hz,不響

WHEN"00000001"=>div_num<=2867;en<='1';CODE<="0001";HIGH<='0';--按鍵1,中音1,523.25Hz;

WHEN"00000010"=>div_num<=2554;en<='1';CODE<="0010";HIGH<='0';--按鍵2,中音2,587.33Hz;

WHEN"00000100"=>div_num<=2275;en<='1';CODE<="0011";HIGH<='0';--按鍵3,中音3,659.26Hz;

WHEN"00001000"=>div_num<=2148;en<='1';CODE<="0100";HIGH<='0';--按鍵4,中音4,698.46Hz;

WHEN"00010000"=>div_num<=1913;en<='1';CODE<="0101";HIGH<='0';--按鍵5,中音5,783.99Hz;

WHEN"00100000"=>div_num<=1705;en<='1';CODE<="0110";HIGH<='0';--按鍵6,中音6,880Hz;

WHEN"01000000"=>div_num<=1519;en<='1';CODE<="0111";HIGH<='0';--按鍵7,中音7,987.77Hz;

WHEN"10000000"=>div_num<=1433;en<='1';CODE<="0001";HIGH<='1';--按鍵8,高音1,1046.5Hz;

WHENothers =>div_num<=0;en<='0';CODE<="0000";HIGH<='0';--同時(shí)按多個(gè)鍵,0Hz,不響ENDCASE;

ENDPROCESS;ENDone;設(shè)計(jì)關(guān)鍵2:

“按鍵”到“分頻數(shù)”的轉(zhuǎn)換模塊。工程1:電子琴的設(shè)計(jì)設(shè)計(jì)關(guān)鍵2:

“按鍵”到“分頻數(shù)”的轉(zhuǎn)換模塊。設(shè)計(jì)關(guān)鍵3:

在頂層原理圖中組裝各個(gè)功能模塊。工程1:電子琴的設(shè)計(jì)思考:原理圖作為頂層設(shè)計(jì)文件有什么優(yōu)點(diǎn)和缺點(diǎn)?如何使用“元件例化”語(yǔ)句完成模塊調(diào)用和信號(hào)線連接,頂層程序設(shè)計(jì)怎樣設(shè)計(jì)?VHDL程序作為頂層設(shè)計(jì)有什么優(yōu)缺點(diǎn)?工程1:電子琴的設(shè)計(jì)總結(jié)知識(shí)點(diǎn)回憶:IF語(yǔ)句的使用;CASE語(yǔ)句的使用;計(jì)數(shù)器的設(shè)計(jì);可變分頻器的設(shè)計(jì);工程1:電子琴的設(shè)計(jì)總結(jié)知識(shí)點(diǎn)回憶:IF語(yǔ)句的使用;IF語(yǔ)句既可以描述組合電路,也可以描述時(shí)序電路;完整條件IF語(yǔ)句用來(lái)描述組合電路;

IFs='0'THENy<=a;ELSE

y<=b;END

IF;IFCLK'EVENT

ANDCLK='1'THEN

CNT<=CNT+1;END

IF;不完整條件IF語(yǔ)句用來(lái)描述時(shí)序電路;工程1:電子琴的設(shè)計(jì)總結(jié)知識(shí)點(diǎn)回憶:CASE語(yǔ)句的使用;CASE語(yǔ)句最適合于描述譯碼器電路;不完整的CASE語(yǔ)句會(huì)生成不必要的鎖存器;

CASEkeyIS--譯碼電路,查表方式,控制音調(diào)的預(yù)置數(shù)

WHEN"00000000"=>div_num<=0;en<='0';CODE<="0000";HIGH<='0‘;--不按鍵,0Hz,不響

WHEN"00000001"=>div_num<=2867;en<='1';CODE<="0001";HIGH<='0';--按鍵1,中音1,523.25Hz;

WHEN"00000010"=>div_num<=2554;en<='1';CODE<="0010";HIGH<='0';--按鍵2,中音2,587.33Hz;

WHEN"00000100"=>div_num<=2275;en<='1';CODE<="0011";HIGH<='0';--按鍵3,中音3,659.26Hz;

WHEN"00001000"=>div_num<=2148;en<='1';CODE<="0100";HIGH<='0';--按鍵4,中音4,698.46Hz;

WHEN"00010000"=>div_num<=1913;en<='1';CODE<="0101";HIGH<='0';--按鍵5,中音5,783.99Hz;

WHEN"00100000"=>div_num<=1705;en<='1';CODE<="0110";HIGH<='0';--按鍵6,中音6,880Hz;

WHEN"01000000"=>div_num<=1519;en<='1';CODE<="0111";HIGH<='0';--按鍵7,中音7,987.77Hz;

WHEN"10000000"=>div_num<=1433;en<='1';CODE<="0001";HIGH<='1';--按鍵8,高音1,1046.5Hz;

WHENothers =>div_num<=0;en<='0';CODE<="0000";HIGH<='0';--同時(shí)按多個(gè)鍵,0Hz,不響ENDCASE;工程1:電子琴的設(shè)計(jì)總結(jié)知識(shí)點(diǎn)回憶:計(jì)數(shù)器的設(shè)計(jì);可變分頻器的設(shè)計(jì);工程2:課后練習(xí),音樂播放器的設(shè)計(jì)設(shè)計(jì)目標(biāo):設(shè)計(jì)一個(gè)音樂播放器,具有“播放/停止”、“暫停播放”和2個(gè)按鍵;當(dāng)?shù)谝淮伟聪隆安シ?停止”按鍵的時(shí)候,能夠重頭開始演奏一首動(dòng)聽的樂曲,第二次按下鍵,就停止播放;當(dāng)按下“暫停播放”按鍵的時(shí)候能夠在暫停演奏和繼續(xù)演奏之間切換;工程2:音樂播放器的設(shè)計(jì)存儲(chǔ)和

讀音符模塊頻率轉(zhuǎn)換模塊數(shù)控分頻模塊工程2:音樂播放器的設(shè)計(jì)4-5樂曲硬件演奏電路設(shè)計(jì)

ROM中的數(shù)據(jù)文件music.mifWIDTH=4;--“梁?!睒非葑鄶?shù)據(jù)DEPTH=256;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENTBEGIN--注意實(shí)用文件中要展開以下數(shù)據(jù),每一組占一行00:3;01:3;02:3;03:3;04:5;05:5;06:5;07:6;08:8;09:8;10:8;11:9;12:6;13:8;14:5;15:5;16:12;17:12;18:12;19:15;20:13;21:12;22:10;23:12;24:9;25:9;26:9;27:9;28:9;29:9;30:9;31:0;32:9;33:9;34:9;35:10;36:7;37:7;38:6;39:6;40:5;41:5;42:5;43:6;44:8;45:8;46:9;47:9;48:3;49:3;50:8;51:8;52:6;53:5;54:6;55:8;56:5;57:5;58:5;59:5;60:5;61:5;62:5;63:5;64:10;65:10;66:10;67:12;68:7;69:7;70:9;71:9;72:6;73:8;74:5;75:5;76:5;77:5;78:5;79:5;80:3;81:5;82:3;83:3;84:5;85:6;86:7;87:9;88:6;89:6;90:6;91:6;92:6;93:6;94:5;95:6;96:8;97:8;98:8;99:9;100:12;101:12;102:12;103:10;104:9;105:9;106:10;107:9;108:8;109:8;110:6;111:5;112:3;113:3;114:3;115:3;116:8;117:8;118:8;119:8;120:6;121:8;122:6;123:5;124:3;125:5;126:6;127:8;128:5;129:5;130:5;131:5;132:5;133:5;134:5;135:5;136:0;137:0;138:0;END;

設(shè)計(jì)關(guān)鍵1:存儲(chǔ)和讀音符模塊工程2:音樂播放器的設(shè)計(jì)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYNoteTabsISPORT(clk :INSTD_LOGIC;ToneIndex :OUTSTD_LOGIC_VECTOR(3DOWNTO0));END;ARCHITECTUREoneOFNoteTabsISCOMPONENTMUSIC--音符數(shù)據(jù)ROMPORT(address :INSTD_LOGIC_VECTOR(7DOWNTO0);clock :INSTD_LOGIC;q :OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDCOMPONENT; SIGNALCounter:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(clk,Counter)BEGINIF(clk'EVENTANDclk='1')THENIFCounter=138THENCounter<="00000000";ELSECounter<=Counter+1;ENDIF;ENDIF;ENDPROCESS;u1:MUSICPORTMAP(address => Counter, clock => clk, q => ToneIndex);END;設(shè)計(jì)關(guān)鍵1:存儲(chǔ)和讀音符模塊工程2:音樂播放器的設(shè)計(jì)設(shè)計(jì)關(guān)鍵2:頻率轉(zhuǎn)換模塊LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYToneTabaISPORT(Index : INSTD_LOGIC_VECTOR(3DOWNTO0); CODE : OUTSTD_LOGIC_VECTOR(3DOWNTO0); HIGH : OUTSTD_LOGIC; en : OUTSTD_LOGIC; div_num : OUT integerrange0to4095);END;CASEIndexIS--譯碼電路,查表方式,控制音調(diào)的預(yù)置數(shù)WHEN"0001"=>div_num<=2867;en<='1';CODE<="0001";HIGH<='0';--中音1,523.25 Hz;WHEN"0010"=>div_num<=2554;en<='1';CODE<="0010";HIGH<='0';--中音2,587.33 Hz;WHEN"0011"=>div_num<=2275;en<='1';CODE<="0011";HIGH<='0';--中音3,659.26 Hz;WHEN"0100"=>div_num<=2148;en<='1';CODE<="0100";HIGH<='0';--中音4,698.46 Hz;WHEN"0101"=>div_num<=1913;en<='1';CODE<="0101";HIGH<='0';--中音5,783.99 Hz;WHEN"0110"=>div_num<=1705;en<='1';CODE<="0110";HIGH<='0';--中音6,880 Hz;WHEN"0111"=>div_num<=1519;en<='1';CODE<="0111";HIGH<='0';--中音7,987.77 Hz;WHEN"1000"=>div_num<=1433;en<='1';CODE<="0001";HIGH<='1';--高音1,1046.5 Hz;WHEN"1001"=>div_num<=1277;en<='1';CODE<="0010";HIGH<='1';--高音2,1174.66 Hz;WHEN"1010"=>div_num<=1138;en<='1';CODE<="0011";HIGH<='1';--高音3,1318.51 Hz;WHEN"1011"=>div_num<=1074;en<='1';CODE<="0100";HIGH<='1';--高音4,1396.91 Hz;WHEN"1100"=>div_num<=957;en<='1';CODE<="0101";HIGH<='1';--高音5,1567.98 Hz;WHEN"1101"=>div_num<=852;en<='1';CODE<="0110";HIGH<='1';--高音6,1760 Hz;WHEN"1110"=>div_num<=759;en<='1';CODE<="0111";HIGH<='1';--高音7,1975.53 Hz;WHEN"1111"=>div_num<=672;en<='1';CODE<="0001";HIGH<='1';--高高音1,2200 Hz;WHENothers=>div_num<=0;en<='0';CODE<="0000";HIGH<='0'; --不響ENDPROCESS;END;

工程2:音樂播放器的設(shè)計(jì)設(shè)計(jì)關(guān)鍵3:數(shù)控分頻模塊LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSpeakeraISPORT(CLK_1500kHz :INSTD_LOGIC;EN :INSTD_LOGIC;div_num :INintegerrange0to4095;Speaker :OUTSTD_LOGIC);END;ARCHITECTUREoneOFSpeakeraISBEGINPROCESS(CLK_1500kHz,EN)VARIABLECQI:integerrange0to4095;VARIABLESpeaker_reg:STD_LOGIC;BEGINIFCLK_1500kHz'EVENTANDCLK_1500kHz='1'THEN --檢測(cè)時(shí)鐘上升沿IFEN='1'THEN --檢測(cè)是否允許計(jì)數(shù)〔同步使能〕IFCQI=0THENCQI:=div_num/2-1;Speaker_reg:=notSpeaker_reg;ELSECQI:=CQI-1;ENDIF;ENDIF;ENDIF;Speaker<=Speaker_reg;ENDPROCESS;END;工程2:音樂播放器的設(shè)計(jì)存儲(chǔ)和

讀音符模塊頻率轉(zhuǎn)換模塊數(shù)控分頻模塊請(qǐng)寫出對(duì)應(yīng)的元件例化語(yǔ)句設(shè)計(jì)關(guān)鍵4:組合子模塊,生成songer模塊工程2:音樂播放器的設(shè)計(jì)存儲(chǔ)和

讀音符模塊頻率轉(zhuǎn)換模塊數(shù)控分頻模塊設(shè)計(jì)關(guān)鍵4:組合子模塊,生成songer模塊工程2:音樂播放器的設(shè)計(jì)設(shè)計(jì)關(guān)鍵5:分頻模塊,產(chǎn)生4Hz和1.5MHz時(shí)鐘信號(hào)工程2:音樂播放器的設(shè)計(jì)LIBRARYIEEE;--分頻模塊,從20MHz到4HZ和1.5MHzUSEIEEE.STD_LOGIC_1164.ALL;ENTITYfreq_divIS

PORT(CLK_30MHz :INSTD_

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論