數(shù)字電子技術 第六版 課件 第5章 集成觸發(fā)器_第1頁
數(shù)字電子技術 第六版 課件 第5章 集成觸發(fā)器_第2頁
數(shù)字電子技術 第六版 課件 第5章 集成觸發(fā)器_第3頁
數(shù)字電子技術 第六版 課件 第5章 集成觸發(fā)器_第4頁
數(shù)字電子技術 第六版 課件 第5章 集成觸發(fā)器_第5頁
已閱讀5頁,還剩86頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

第5章集成觸發(fā)器5.1概述5.2RS觸發(fā)器5.3

D觸發(fā)器5.4

JK觸發(fā)器5.5

觸發(fā)器的應用5.6

觸發(fā)器故障的查找與排除本章小結有兩個穩(wěn)定狀態(tài)輸入信號消失后,已轉(zhuǎn)換的狀態(tài)可長期保存RS觸發(fā)器理解RS觸發(fā)器的電路結構和工作原理;掌握D觸發(fā)器和JK觸發(fā)器的電路結構、邏輯功能、特性方程及常用集成觸發(fā)器的邏輯功能和應用。概述觸發(fā)器—是具有記憶功能的基本邏輯單元,常用作二進制信息的存儲單元。根據(jù)觸發(fā)方式的不同,可分為電平觸發(fā)器、邊沿觸發(fā)器和主從觸發(fā)器等;根據(jù)電路結構不同可分為基本RS觸發(fā)器、同步觸發(fā)器、主從觸發(fā)器和邊沿觸發(fā)器等。觸發(fā)器電路特點觸發(fā)器按功能分類本章重點D觸發(fā)器JK觸發(fā)器T觸發(fā)器在輸入信號作用下,兩個穩(wěn)定狀態(tài)可相互轉(zhuǎn)換第5章觸發(fā)器5.2

RS觸發(fā)器5.2.1基本RS觸發(fā)器5.2.2同步RS觸發(fā)器5.2.1基本RS

觸發(fā)器一、電路結構和邏輯符號QQSDRDG1G2QQSDRDSRSDRDQQRD置0端,也稱復位端R。

R即Reset。SD置1端,也稱置位端S。

S即Set。互補輸出端,正常工作時,它們的輸出

狀態(tài)相反。低電平有效

二、觸發(fā)器的狀態(tài)

通常用Q端的輸出狀態(tài)來表示觸發(fā)器的狀態(tài)?,F(xiàn)態(tài)次態(tài)觸發(fā)器輸入信號變化前的狀態(tài)稱為現(xiàn)態(tài),用Qn表示。觸發(fā)器輸入信號變化后的狀態(tài)稱為次態(tài),用Qn+1表示。1狀態(tài)0狀態(tài)當Q=1、Q

=0

時稱為觸發(fā)器的1狀態(tài)。用Q=1表示。當Q=0、Q=1時稱為觸發(fā)器的0狀態(tài)。用Q=0表示。5.2.1基本RS

觸發(fā)器QQSDRDG1G211011000SDRD

功能說明輸入QQ輸出

觸發(fā)器被置0

觸發(fā)器置010三、邏輯功能1

101105.2.1基本RS

觸發(fā)器(Qn﹢1)=0QQSDRDG1G211011000SDRD

功能說明輸入QQ輸出

1

1觸發(fā)器被置1

觸發(fā)器置101三、邏輯功能01

觸發(fā)器置010105.2.1基本RS

觸發(fā)器(Qn﹢1=1)三、邏輯功能QQSDRDG1G211011000SDRD

功能說明輸入QQ輸出

輸出狀態(tài)不定(禁用)不定

觸發(fā)器置010

觸發(fā)器置101

觸發(fā)器保持原狀態(tài)不變不變1110設原來狀態(tài)為00保持0狀態(tài)不變15.2.1基本RS

觸發(fā)器(Qn﹢1=Qn)三、邏輯功能QQSDRDG1G211011000SDRD

功能說明輸入QQ輸出

輸出狀態(tài)不定(禁用)不定

觸發(fā)器置010

觸發(fā)器置101

觸發(fā)器保持原狀態(tài)不變不變0011

當R=S=1時,輸出都為1,這既不是0狀態(tài),也不是1狀態(tài)。當RD和SD同時由0變1時,輸出狀態(tài)可能為0,也可能為1,即輸出狀態(tài)不能確定。因此,這種情況禁用。5.2.1基本RS

觸發(fā)器排除不定狀態(tài)的條件是

R﹢S=1功能點評(1)基本RS觸發(fā)器的置0和置1功能常用于集成觸發(fā)器的直接置0和直接置1。(2)功能表中的Qn+1=Qn表示新狀態(tài)和原狀態(tài)相同。(3)基本RS觸發(fā)器正常工作條件:RD+SD=1,即要求RD和SD中至少有一個為高電平1,不會出現(xiàn)同時為0的情況。5.2.1基本RS

觸發(fā)器(4)多個基本RS

觸發(fā)器在一起不能做到協(xié)調(diào)工作。應用舉例:防機械開關抖動電路圖(a)中開關S由位置1打到位置2的瞬間在斷開與閉合之間來回抖動,經(jīng)過數(shù)十毫秒才能穩(wěn)定,故A點輸出波形如圖(b)所示。5.2.1基本RS觸發(fā)器圖(c)為防機械開關抖動電路,圖中開關S由位置1打到位置2時,觸發(fā)器置1,開關S在2端抖動時,對觸發(fā)器的1狀態(tài)無影響,波形如圖(d)所示。開關震動現(xiàn)象知識拓展由或非門組成的基本RS

觸發(fā)器

一、電路結構和邏輯符號QQRDSDRSQQRDSDG1G2RDSDQQ互補輸出端,正常工作時,它們的輸出

狀態(tài)相反。高電平有效

QQRDSDG1G211011000SDRD

功能說明輸入QQ輸出0100

01觸發(fā)器被置1

觸發(fā)器置101邏輯功能QQRDSDG1G211011000SDRD

功能說明輸入QQ輸出101000觸發(fā)器被置0

觸發(fā)器置010

觸發(fā)器置101QQRDSDG1G211011000SDRD

功能說明輸入QQ輸出變不00

觸發(fā)器置010

觸發(fā)器置101

觸發(fā)器保持原狀態(tài)不變

保持原狀態(tài)不變QQRDSDG1G211011000SDRD

功能說明輸入QQ輸出1100

當RD=SD=1時,輸出都為0,這既不是0

狀態(tài),也不是1

狀態(tài)。當RD和SD同時由1

變0

時,輸出狀態(tài)是不確定的。所以,這種情況也是不允許的。為保證觸發(fā)器能正常工作,要求

RDSD=0。

輸出狀態(tài)不定(禁用)不定

觸發(fā)器置010

觸發(fā)器置101

觸發(fā)器保持原狀態(tài)不變不變QQG1G2SRG3G4CPQ3Q41SR一、電路結構和邏輯符號5.2.2同步RS

觸發(fā)器QQ1SC11RSCPR置位關聯(lián)輸入復位關聯(lián)輸入控制關聯(lián)符號二、邏輯功能5.2.2同步RS

觸發(fā)器QQG1G2SRG3G4CPQ3Q4★CP=0時,G3、G4被封鎖,輸入信號R、S不起作用。由于基本RS觸發(fā)器的輸入均為1,故觸發(fā)器輸出狀態(tài)保持不變。基本

RS

觸發(fā)器增加了由時鐘

CP

控制的門

G3、G4011QQG1G2SRG3G4CPQ3Q41SR★CP=1

時,G3、G4解除封鎖,將輸入信號

R和S取非后送至基本

RS觸發(fā)器的輸入端。二、邏輯功能5.2.2同步RS

觸發(fā)器二、邏輯功能QQG1G2SRG3G4CPQ3Q4RS功能

×11001110Qn00Qn+1SR1CP××0Qn

CP=1,輸入R、S

信號不同時,則輸出狀態(tài)和S相同。表中CP=1,R=S=0時,輸出狀態(tài)不變;R=S=1時,

輸出狀態(tài)是任意的,可為0,也可為1,用“?”表示,這種狀態(tài)不允許。排除條件是:R·S=0。在CP=0時,輸出狀態(tài)不變,與R﹑S值無關。5.2.2同步RS

觸發(fā)器0000101010101011010110001111×0×1Qn+1QnSR特性表同步RS觸發(fā)器Qn+1的卡諾圖RSQn0100011110

×

×

1

1

1

特性方程RS=0(約束條件)RS觸發(fā)器功能也可用特性表與特性方程來描述。特性方程指觸發(fā)器次態(tài)與輸入信號和電路原有狀態(tài)之間的邏輯關系式。CP=1期間有效三、特性表與特性方程功能點評同步RS觸發(fā)器在CP=1時,具有置0、置1

和保持三種可用功能。但存在兩個問題:(1)在R=1、S=1

時,會出現(xiàn)輸出狀態(tài)不確定現(xiàn)象,這是不允許的,排除R、S同時為1的條件是取RS=0(約束條件),即R、S中至少有一個為0。后面介紹的D觸發(fā)器和JK觸發(fā)器可以解決這個問題。5.2.2同步RS觸發(fā)器(2)在CP=1期間,R和S端輸入的信號不變時,觸發(fā)器的狀態(tài)才是穩(wěn)定的。如果R﹑S輸入信號發(fā)生變化時,輸出狀態(tài)會隨之改變,這種現(xiàn)象叫空翻。因此該觸發(fā)器只能用于數(shù)據(jù)鎖存。第5章觸發(fā)器5.3

D觸發(fā)器5.3.1同步D觸發(fā)器5.3.2邊沿D觸發(fā)器5.3.1同步D觸發(fā)器一、電路結構和邏輯符號同步D觸發(fā)器是在同步RS觸發(fā)器的R、S之間加一個反相器構成的。1二、邏輯功能稱為D功能特點:Qn+1跟隨D信號變化不變Qn×0置0置101011說明Qn+1DCP同步D觸發(fā)器功能表

工作原理★CP=0時,G3和G4輸出高電平1,觸發(fā)器不受D端輸入信號的控制。保持原狀態(tài)不變;★CP=1

時,觸發(fā)器接受D

端輸入的信號,其狀態(tài)翻到和D

的狀態(tài)相同。三、特性方程001101010011Qn+1QnD特性表

00001111Qn+1=D無約束條件特性方程CP=1

期間有效功能點評(1)同步D觸發(fā)器沒有不定狀態(tài);(2)在CP=1期間輸出狀態(tài)隨D輸入信號變化而變化,所以存在空翻現(xiàn)象。故主要用于數(shù)據(jù)鎖存;邊沿觸發(fā)器可以克服空翻現(xiàn)象。5.3.2邊沿D觸發(fā)器一、邊沿觸發(fā)方式邊沿觸發(fā)器是利用時鐘脈沖CP上升沿(或下降沿)到達時刻接收輸入信號,因此,電路狀態(tài)只能在CP上升沿(或下降沿)達到時刻翻轉(zhuǎn)。在CP的其他時間內(nèi),電路狀態(tài)不會發(fā)生變化,從而提高了觸發(fā)器工作的可靠性和抗干擾能力。邊沿觸發(fā)器沒有空翻現(xiàn)象。

邊沿觸發(fā)器主要有邊沿D

觸發(fā)器、

邊沿JK

觸發(fā)器等。二、邏輯符號QQ1DDC1CP邏輯符號

上升沿有效QQ1DDC1CP邏輯符號

下降沿有效三、邏輯功能特性方程CP

上升沿到達時刻有效不變Q

n×其他置0置10101

說明Q

n+1DCP功能表

功能點評(1)上升沿D觸發(fā)器只有在時鐘脈沖CP上升沿觸發(fā)瞬間接收D端的輸入信號而翻到和D相同的狀態(tài),而在CP的其他時間內(nèi),無論D端的輸入信號如何變化,觸發(fā)器的輸出狀態(tài)不會改變。因此,邊沿D觸發(fā)器沒有空翻現(xiàn)象。(2)由于CP邊沿對觸發(fā)器的作用時間極短,因此,邊沿觸發(fā)器的工作速度高,抗干擾能力強,工作可靠。保持置

1置

0置

0置

0置1波形分析舉例(例)

圖示為上升沿D觸發(fā)器的時鐘脈沖CP和D端輸入的電壓波形,試畫出觸發(fā)器輸出Q和Q端的電壓波形。設初態(tài)=0。12345CPD解:Q初態(tài)為0Q上升沿觸發(fā)波形點評(1)只有在CP上升沿達到時刻觸發(fā)器才會接收D端的輸入信號而改變到和D相同的狀態(tài)。而在CP為其他值時,不管D端信號為0還是為1,觸發(fā)器狀態(tài)不會改變。因此,Q

端輸出電壓波形的變化與CP上升沿到達發(fā)生在同一時刻。(2)在CP一個周期內(nèi),只有一個上升沿,觸發(fā)器狀態(tài)只能改變一次,因此,邊沿D

觸發(fā)器沒有空翻問題。功能拓展邊沿D觸發(fā)器構成分頻電路

QQ1DDC1CP電路構成

CPQQn+1=D=QnD端的輸入信號與觸發(fā)器狀態(tài)相反,因此,每輸入一個CP上升沿,觸發(fā)器狀態(tài)翻轉(zhuǎn)一次;所以,當輸入CP為周期性脈沖波形時,觸發(fā)器狀態(tài)不斷翻轉(zhuǎn)。這時為計數(shù)觸發(fā)器。由波形可看出fQ為(1/2)fCP,為二分頻電路。TCPTQQQ1DDC1CP電路構成

CPQQn+1=D=QnTCPTQ

一級計數(shù)觸發(fā)器為二(21)分頻電路,兩級計數(shù)觸發(fā)器串接構成四(22)分頻電路;n級計數(shù)觸發(fā)器串接構成2n分頻電路。在數(shù)字電路中,常用分頻電路將頻率高的脈沖變?yōu)轭l率低的脈沖。四、集成上升沿D觸發(fā)器74LS74介紹邏輯符號

SRC11DSDRD432110111213CPD5698QQ異步置1端異步置0端異步置0:當RD=0,SD=1時,觸發(fā)器置0,即Qn+1=0。異步置1:當RD=1,SD=0時,觸發(fā)器置1,即Qn+1=1。異步置0和異步置1與CP和D

端輸入信號無關,故稱為異步置0和異步置1。它優(yōu)先于其它所有輸入信號。置0:取RD=SD=1時,如果D=0,則在CP上升沿作用下,觸發(fā)器置0,即Qn+1=0;置1:取RD=SD=1時,如果D=1,則在CP上升沿作用下,觸發(fā)器置1,即Qn+1=1;保持:取RD=SD=1,當CP=0時,不論D端信號如何化,觸發(fā)器都保持原來的狀態(tài)不變,即Qn+1=Qn;四、集成上升沿D觸發(fā)器74LS74介紹邏輯符號

SRC11DSDRD432110111213CPD5698QQ四、集成上升沿D觸發(fā)器74LS74介紹功能表

01

異步置0

10

異步置1

01

置0

10

置1

保持

Qn

Qn

11

不允許

01××功能說明輸入10××110↑111↑11×000××RD

SD

D

CPQn+1

Qn+1

輸出邏輯符號

SRC11DSDRD432110111213CPD5698QQ電路應用提示:1.74LS74正常工作時,應使SD和RD同時接高電平1,這時在CP上升沿作用下,D觸發(fā)器才會接收D端輸入信號而翻轉(zhuǎn)到和D相同狀態(tài)2.進行異步置0或異步置1時,RD端和SD端應接不同的電平。不容許同時接低電平0.由于D端的信號和Q端信號相反,因此將D端和Q端相連時,則每輸入一個CP上升沿,D觸發(fā)器狀態(tài)變化一次——執(zhí)行翻轉(zhuǎn)功能。波形分析舉例解:CP1D23456RDSDQ(例)

圖示為D觸發(fā)器74LS74的CP、D、RD和SD的輸入的電壓波形,試畫出觸發(fā)器輸出Q端的電壓波形,設觸發(fā)器的初始狀態(tài)為Q=0。第一個CP

↑因RD=SD=1,D=1,所以觸發(fā)器由0狀態(tài)翻到1狀態(tài)。初始狀態(tài)為0波形分析舉例解:Q第二個CP↑,雖然D=1,因RD=0,SD=1,所以觸發(fā)器被強迫置0。例圖示為D觸發(fā)器74LS74的CP、D、RD和SD的輸入的電壓波形,試畫出觸發(fā)器輸出Q的電壓波形,設觸發(fā)器的初始狀態(tài)為Qn=0。CP1D23456RDSDQ波形分析舉例解:Q第三個CP

↑因RD=SD=1,D=0,所以觸發(fā)器保持0狀態(tài)不變。CP1D23456RDSDQ例圖示為D觸發(fā)器74LS74的CP、D、RD和SD的輸入的電壓波形,試畫出觸發(fā)器輸出Q的電壓波形,設觸發(fā)器的初始狀態(tài)為Qn=0。波形分析舉例解:Q由于接著SD=0,RD=1,觸發(fā)器又被強迫置1。后面由于SD=RD=1,輸出波形請讀者自行分析。QCP1D23456RDSD例圖示為D觸發(fā)器74LS74的CP、D、RD和SD的輸入的電壓波形,試畫出觸發(fā)器輸出Q的電壓波形,設觸發(fā)器的初始狀態(tài)為Qn=0。波形點評(1)具有異步置0端RD和異步置1端SD的上升沿

D觸發(fā)器正常工作時,應使RD端和SD端同時接高電平1,這時在時鐘脈沖上升沿作用下,D觸發(fā)器才會接收D端輸入的信號。(2)進行異步置0或異步置1時,RD端和SD端應接入不同的電平。如RD=0、SD=1

時,觸發(fā)器立刻置0;如RD=1、SD=0

時,觸發(fā)器立刻置1。因此,異步置0和異步置1

信號優(yōu)先于其他所有輸入信號。五、應用舉例1、開機置數(shù)電路開關S合上前,電容C上電壓為0。在開關S合上的瞬間,RD=0,SD=1,觸發(fā)器置0。隨后+5V電源經(jīng)R將電容C上電壓由0充到5V,RD=SD=1,D

觸發(fā)器處于工作狀態(tài)。開機清零電路開機置1電路開機置1電路請讀者自行分析。一、同步單脈沖產(chǎn)生

電路

圖示為由雙上升沿

D

觸發(fā)器CC4013組成的同步單脈沖產(chǎn)生電路。R和S高電平1有效。CP

由圖可見,每按一次按鈕開關S1,F(xiàn)F0便輸出1,F(xiàn)F1的在隨后CP上升沿作用下,Q1端輸出一個正脈沖。CPAQ0Q1按鈕開關S1按下5.6觸發(fā)器的應用舉例第5章觸發(fā)器5.4

JK觸發(fā)器5.4.1同步JK觸發(fā)器5.4.2邊沿JK觸發(fā)器5.4.3T觸發(fā)器和T'觸發(fā)器電路結構QQ1JJC1CP1KK邏輯符號一、電路結構和邏輯符號5.4.1

同步

JK觸發(fā)器QQG1G2JKG3G4CP功能表

二、邏輯功能稱為JK功能,即

JK=00

時,執(zhí)行保持功能;

JK=11

時,執(zhí)行翻轉(zhuǎn)功能;

J

K時Qn+1狀態(tài)與J相同。1說明Qn+1KJCP不變Qn00置0010翻轉(zhuǎn)11置1101不變Qn××0Qn工作原理★CP=0

時,G3、G4被封鎖,都輸出1

,觸發(fā)器保持原狀態(tài)不變?!顲P=1

時,G3、G4解除封鎖,輸入J、K端的信號可控制觸發(fā)器的狀態(tài)。特性表

同步JK觸發(fā)器Qn+1的卡諾圖JKQn0100011110

0

0

1

1

1

1

0

0三、特性表和特性方程10011111110100110001110000K010100Qn+1QnJ無約束條件特性方程CP=1期間有效功能點評:(1)同步JK觸發(fā)器沒有不定狀態(tài)。(2)在CP=1期間,接收J、K端的輸入信號而改變輸出狀態(tài)。具有置0、置1、保持和翻轉(zhuǎn)功能。是一種功能很全的觸發(fā)器。它的主要缺點是存在空翻現(xiàn)象??刹捎眠呇豃K觸發(fā)器克服。5.4.2邊沿JK觸發(fā)器一、邏輯符號下降沿觸發(fā)上升沿觸發(fā)5.4.2邊沿JK觸發(fā)器二、邏輯功能特性方程功能點評

邊沿JK觸發(fā)器沒有空翻現(xiàn)象,故抗干擾能力很強,并且工作速度快,工作可靠,應用廣泛。(CP下降沿到達時刻有效)

邊沿JK

觸發(fā)器和同步JK

觸發(fā)器一樣具有置0、置1、翻轉(zhuǎn)和保持功能。下降沿JK

觸發(fā)器只有在CP下降沿到達時刻才接收J、K

的輸入信號并改變輸出狀態(tài)。在CP其他時間內(nèi),不會接收J、K

輸入信號。波形分析舉例CP[例]

圖示為下降沿JK觸發(fā)器的CP、J、K

端的輸入電壓波形,試畫出輸出端Q

的電壓波形。設觸發(fā)器的初始狀態(tài)為Qn

=0。1解:Q2345JK波形點評:(1)只有在時鐘脈沖CP下降沿到達時刻觸發(fā)器才會接收J、K端的輸入信號而改變輸出狀態(tài)。(2)在時鐘脈沖CP的一個周期內(nèi),只有一個下降沿,觸發(fā)器的狀態(tài)只能變化一次。5.4.2邊沿JK觸發(fā)器三、集成下降沿JK觸發(fā)器74LS112介紹邏輯符號1×××00Qn××111保持

置0

置1計數(shù)Qn11↓11101↓11010↓11保持Qn0

0↓11異步置11×××01異步置00×××10說明Qn+1KJCPSDRD不允許74LS112功能表進行異步置0或異步置1時,取RD≠SD,且優(yōu)先于CP、J、K輸入信號。工作時,取RD=SD=1,在CP下降沿作用下:J=K=0時,狀態(tài)不變;J≠K時,狀態(tài)翻到和J相同;J=K=1時,每輸入一個CP下降沿下,狀態(tài)變換一次;不允許RD=SD=0。5.4.2邊沿JK觸發(fā)器

2.74LS112正常工作時,應使RD和SD同時接高電平1,這時在CP下降沿作用下:J=K=0時,狀態(tài)不變;J≠K時,狀態(tài)翻到和J相同;J=K=1時,每輸入一個CP下降沿,狀態(tài)變換一次。

1.進行異步置0或異步置1時,RD和SD端應接入不同的電平,異步置0和異步置1信號對觸發(fā)器狀態(tài)的控制作用優(yōu)先于其他所有輸入信號。不允許RD和SD同時接低電平0.電路應用提示:5.4.2邊沿

JK觸發(fā)器四、應用舉例多路控制公共照明燈電路RD=SD=J=K=1觸發(fā)器處于0狀態(tài)時,三極管VT截止,繼電器的觸點斷開,燈L熄滅。工作原理:當按下S0時,觸發(fā)器從0狀態(tài)翻轉(zhuǎn)到1狀態(tài),VT導通,繼電器觸點閉合,燈L點亮。

如再按下S1時,觸發(fā)器又翻轉(zhuǎn)到0狀態(tài),VT截止,繼電器觸點斷開,燈L熄滅。功能拓展邊沿JK觸發(fā)器組成二進制計數(shù)器

電路構成波形圖計數(shù)脈沖一位二進制計數(shù)器(二分頻器)J=K=1,翻轉(zhuǎn)功能1兩個下降沿JK觸發(fā)器串接組成二位二進制計數(shù)器計數(shù)前,在異步置0端RD上加負脈沖,計數(shù)器置0,使計數(shù)器從0開始計數(shù)。在計數(shù)過程中RD

為高電平。當輸入第4個計數(shù)脈沖CP時,計數(shù)器返回到初始的0狀態(tài),故為四進制計數(shù)器。時鐘脈沖的四分頻時鐘脈沖的二分頻

由JK觸發(fā)器、D

觸發(fā)器構成,主要是用來簡化集成計數(shù)器的邏輯電路。QQTT邏輯符號QQC1CP1TC11TCP上升沿觸發(fā)下降沿觸發(fā)T觸發(fā)器是根據(jù)T端輸入信號的不同,在時鐘脈沖CP

作用下具有翻轉(zhuǎn)和保持功能的電路。T′觸發(fā)器是指每輸入一個時鐘脈沖CP,狀態(tài)變化一次的電路。它實際上是T觸發(fā)器的翻轉(zhuǎn)功能。5.4.3T觸發(fā)器和T′觸發(fā)器保持翻轉(zhuǎn)QnQn01↓說明Qn+1TCP功能表

一、JK觸發(fā)器構成T和T′觸發(fā)器QQTT

觸發(fā)器C11JCP1KQQC1CP1JT′觸發(fā)器1KT=1特性方程特性方程翻轉(zhuǎn)Qn1↓說明Qn+1TCP功能表

二、D觸發(fā)器構成T和T′觸發(fā)器QQC1CP1DT′觸發(fā)器特性方程特性方程QQTT

觸發(fā)器C11DCP=1第5章觸發(fā)器5.5

觸發(fā)器綜合應用舉例一、分頻電路二、四人搶答器5.5觸發(fā)器綜合應用舉例一、組成分頻電路秒脈沖產(chǎn)生電路每個D觸發(fā)器均接成二分頻電路石英晶體振蕩器產(chǎn)生32768Hz脈沖信號,經(jīng)15級二分頻后輸出1Hz的方波,即得周期為1s的秒脈沖信號。[例]

D觸發(fā)器構成秒信號電路。

經(jīng)15級二分頻后,輸出1HZ的方波,即得到周期為1S秒的秒脈沖信號。每個D觸發(fā)器都為2分頻電路5.4觸發(fā)器綜合應用舉例二、組成四人搶答器主持人控制開關搶答開關功能:用以判斷四個選手中哪一個最先按下?lián)尨痖_關,而后其他選手再按搶答開關時均不起作用。工作過程:(一)開始工作前,按下主持人控制開關SR使觸發(fā)器置0,4個Q端都輸出高電平,發(fā)光二極管均不發(fā)光。此時,四個觸發(fā)器輸入端J=K=1,等待接收信號。主持人控制開關搶答開關工作過程:(二)假設S3按下,則FF3

首先由0狀態(tài)翻到1狀態(tài),這時Q3=0,發(fā)光二極管LED3

發(fā)光,同時使所有觸發(fā)器J=K=0,都執(zhí)行保持功能,故后續(xù)搶答無效。搶答開關主持人控制開關工作過程:(三)進行第二輪搶答時,需再按一次開關SR,回到初始狀態(tài),可以進行新的搶答。搶答開關主持人控制開關5.4觸發(fā)器綜合應用舉例二、組成四人搶答器調(diào)試要點:(1)檢查電路接線和選用器件是否正確。(2)檢查搶答器開始狀態(tài)。按下開關SR,LED0

~LED3均熄滅,Q3

~Q0

都輸出高電平。(3)檢查搶答器功能。按下開關S0~S3

中任一個,相應LED發(fā)光,此后按其它開關均不起作用。(4)啟動下一輪搶答。按開關SR,LED0~LED3均熄滅,電路復原。第5章觸發(fā)器5.6

觸發(fā)器故障的查找與排除5.6.1觸發(fā)器常見故障現(xiàn)象5.6.2觸發(fā)器故障的查找與排除5.6觸發(fā)器故障的查找與排除5.6.1觸發(fā)器常見故障現(xiàn)象1﹑觸發(fā)器工作時,應發(fā)生翻轉(zhuǎn)而沒有翻轉(zhuǎn);3﹑觸發(fā)器輸出端Q和Q出現(xiàn)同一狀態(tài)。2﹑觸發(fā)器輸出始終為0狀態(tài)或始終為1狀態(tài);5.6觸發(fā)器故障的找與排除5.6.2觸發(fā)器常見故障的查找與排除1.觸發(fā)器工作時,應發(fā)生翻轉(zhuǎn)而沒有翻轉(zhuǎn)。在CP下降沿作用下觸發(fā)器狀態(tài)不變的可能原因有:(1)J=K=1時,在CP下降沿作用下,觸發(fā)器狀態(tài)不變,則故障可能為異步復位端RD和置位端SD有一個誤接了低電平。

以JK

觸發(fā)器構成的二分頻電路為例(2)在J=K=1、RD=SD=1時,如在CP

下降沿作用下電路狀態(tài)不變,故

障可能出在外接電路上,這時可通過斷開外接電路來判斷。5.6.2觸發(fā)器常見故障的查找與排除

1.觸發(fā)器工作時,應發(fā)生翻轉(zhuǎn)而沒有翻轉(zhuǎn)。(3)檢查JK

是否誤接了低電平;(4)檢查CP脈沖是否加到芯片CP引腳上(5)如果上述檢查都沒發(fā)現(xiàn)問題,則可能是芯片損壞;以JK觸發(fā)器構成的二分頻電路為例5.6.2觸發(fā)器常見故障的查找與排除2.JK觸發(fā)器始終為0狀態(tài)或始終為1狀態(tài)。

故障可能有如下原因:(1)檢查異步復位端RD和置位端SD是否有一個誤接了低電平;(3)檢查觸發(fā)器狀態(tài)與J、K端輸入電平的要求是否一致;如不一致,則可能是器件壞了。以JK觸發(fā)器構成的二分頻電路為例(2)如RD=SD=1時,故障可能出在外接電路上,這時可通過斷開外接電路來判斷;5.6.2觸發(fā)器常見故障的查找與排除(1)可能為異步復位端RD和置位端SD誤接了低電平;(2)可能出在外接電路上,這時可通過斷開外接電路來判斷;(3)可能芯片已壞;3.觸發(fā)器輸出Q和Q出現(xiàn)相同狀態(tài)。

故障可能有如下原因以JK觸發(fā)器構成的二分頻電路為例5.6.2觸發(fā)器常見故障的查找與排除4.

觸發(fā)器異步置0或異步置1不起作用。

故障可能原因如下:(1)

檢查外電路的置0或置1的低電平信號是否加到觸發(fā)器的RD和SD上;(2)故障可能出在外接電路上,這時可通過斷開外接電路來判斷;(3)檢查CP下降沿是否正確。以JK觸發(fā)器構成的二分頻電路為例本章小結

★和門電路一樣,觸發(fā)器也是數(shù)字系統(tǒng)的基本邏輯單元,它有三個基本特性:有兩個穩(wěn)定狀態(tài);在外信號作用下,兩個穩(wěn)定狀態(tài)可以相互轉(zhuǎn)換;外信號消失后,已轉(zhuǎn)換的狀態(tài)可長期保存;因此觸發(fā)器具有記憶功能,用來存儲二進制信息?!镉|發(fā)器根據(jù)邏輯功能不同分為D

觸發(fā)器T

觸發(fā)器RS

觸發(fā)器JK

觸發(fā)器10Qn+110D

Qn+1=

DQnQnQn+110T不定01

QnQn+111011000SR

Qn+1=S

+

RQn

RS

=

0(約束條件)Qn10

QnQn+111011000KJ

Qn+1=JQn+

KQn(1)基本RS觸發(fā)器是組成其他各種邏輯功能觸發(fā)器的基礎,它具有置0、置1和保持功能。(2)同步RS觸發(fā)器具有置0、置1和保持功能。(3)同步D觸發(fā)器具有置0、置1功能。(4)同步JK觸發(fā)器具有置0、置1、保持和翻轉(zhuǎn)(計數(shù))功能,是一種功能很全的觸發(fā)器。由于同步觸發(fā)器存在空翻現(xiàn)象,因此,不能用來組成計數(shù)器、移位寄存器等時序邏輯電路,但可用于組成數(shù)據(jù)鎖存器?!锘綬S觸發(fā)器和同步觸發(fā)器可使用的功能有:

★邊沿觸發(fā)器主要有邊沿JK觸發(fā)器和邊沿D觸發(fā)器,由時鐘脈沖CP上升沿或下降沿進行觸發(fā)。只有在CP邊沿作用瞬間接收J、K或D端的輸入信號而改變輸出狀態(tài)。因此,邊沿觸發(fā)器的抗干擾能力強、工作可靠性高,應用廣泛。

T觸發(fā)器具有保持和翻轉(zhuǎn)功能,可由邊沿JK觸發(fā)器直接組成。T=0時,執(zhí)行保持功能,T=1時執(zhí)行翻轉(zhuǎn)功能。

T=1時為T'觸發(fā)器,只具有翻轉(zhuǎn)功能?!镞M行異步置0或異步置1時,RD和SD應接入不同的信號,不容許同時加0。異步置0和異步置1信號對觸發(fā)器狀態(tài)的控制作用優(yōu)先于其它所有輸入信號?!镞呇赜|發(fā)器和T觸發(fā)器的功能有:第5章集成觸發(fā)器5.6

故障診斷5.6.1邊沿JK觸發(fā)器常見故障的查找與排除5.6.2邊沿D觸發(fā)器常見故障的查找與排除5.6故障診斷集成邊沿觸發(fā)器是一種使用十分廣泛的記憶單元。邊

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論