計(jì)算機(jī)組成與結(jié)構(gòu)總結(jié)_第1頁(yè)
計(jì)算機(jī)組成與結(jié)構(gòu)總結(jié)_第2頁(yè)
計(jì)算機(jī)組成與結(jié)構(gòu)總結(jié)_第3頁(yè)
計(jì)算機(jī)組成與結(jié)構(gòu)總結(jié)_第4頁(yè)
計(jì)算機(jī)組成與結(jié)構(gòu)總結(jié)_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第一章:

1.whatisthecomputerarchitecture

計(jì)算機(jī)體系結(jié)構(gòu)是那些對(duì)程序員可見(jiàn)的系統(tǒng)屬性,換句話說(shuō),這些屬性直接影響到程

序的規(guī)律執(zhí)行。

2.whatisthecomputerorganization

計(jì)算機(jī)組成是實(shí)現(xiàn)結(jié)構(gòu)法律規(guī)范的操作單元以及其相互連接。組成的屬性包括那些對(duì)

程序員可見(jiàn)的硬件細(xì)節(jié),如掌握信號(hào)、計(jì)算機(jī)和外設(shè)的接口以及儲(chǔ)存器使用的技術(shù)。

3.whatisthestructureofacomputersystem

分層性質(zhì)的系統(tǒng)。是由一系列相互關(guān)聯(lián)的子系統(tǒng),每個(gè)子系統(tǒng)又在結(jié)構(gòu)上分層,直到

分成我們所能達(dá)到的一些基本子系統(tǒng)的最低級(jí)。

4.whatarethefunctionsofacomputer

---處理數(shù)據(jù)(Dataprocessing)---數(shù)據(jù)的儲(chǔ)存(Datastorage)---數(shù)據(jù)傳送(Data

movement)---對(duì)之前的三種功能進(jìn)行掌握(Control)。

5.describetheprincipalelementsofacomputer

一中心處理器(CPU)一主儲(chǔ)存器…I/O一系統(tǒng)互連:

6.describetheprincipalelementsofaCPU

--掌握單元---算術(shù)規(guī)律單元(ALU)---寄存器--CPU內(nèi)部互連

其次章

1.DescribethestructureofvonNuemannmachine:

--主儲(chǔ)存器一算術(shù)規(guī)律運(yùn)算單元(ALU)一掌握器…輸入/輸出設(shè)施(I/O1

2.DescribetheStoredProgramconcept

程序以某種形式與數(shù)據(jù)一同存在儲(chǔ)存器中,編程的過(guò)程就可以簡(jiǎn)化。這樣,計(jì)算機(jī)就

可以通過(guò)在儲(chǔ)存器中讀取程序來(lái)獵取指令,而且通過(guò)設(shè)置一部分儲(chǔ)存器的值就可以編寫(xiě)和

修改程序。

3.Describemoorefslaw

摩爾定律指的是單芯片上所能包含的晶體管數(shù)量每年翻一番,并且這種態(tài)勢(shì)在不遠(yuǎn)的

將來(lái)還會(huì)始終走下去。

4.Describethewaystospeedupthemicroprocessor

--流水線技術(shù)--加入cache,Ll&L2cache--通過(guò)增加新的電路,減小電路間的距

離來(lái)提高速度,使得性能提高…Branchprediction(轉(zhuǎn)移猜測(cè))—Dataflowanalysis

(數(shù)據(jù)流分析)---Speculativeexecution(推想執(zhí)行):

第三章

1.DescribethreekeyofvonNeumannarchitecture

…數(shù)據(jù)和指令儲(chǔ)存在單一的"讀、寫(xiě)儲(chǔ)存器"中…儲(chǔ)存器的內(nèi)容通過(guò)位置尋址,而不

關(guān)懷儲(chǔ)存在其中的數(shù)據(jù)類(lèi)型-一以挨次的形式從一條指令到下一條指令的(除非有明確的修

改)執(zhí)行

2.Programconcept:

--Asequenceofsteps--foreachsteps,anarithmeticorlogicaloperationis

done.—foreachoperation,adifferentsetofcontrolsignalsisneeded.(e.g.ADD,

MOVE)

3.ComputerComponents

一中心掌握單元(CPU)—I/O部件一主存(存放臨時(shí)的代碼和結(jié)果)

4.多重中斷的處理方法:禁止中斷和定義優(yōu)先級(jí)

5.三種系統(tǒng)總線結(jié)構(gòu):數(shù)據(jù)總線,地址總線,掌握總線。

第四章

儲(chǔ)存器,性能(memoryperformance):

存取時(shí)間,周期時(shí)間,傳輸率。

存儲(chǔ)器層次結(jié)構(gòu):

--主板內(nèi)寄存器一主板如諸存器(RAM)內(nèi)存一離線存儲(chǔ)器

每位價(jià)格下降;容量增大;存取時(shí)間變長(zhǎng);處理器訪問(wèn)存儲(chǔ)器的頻率降低。

Localityofreference(儲(chǔ)存器引用、訪問(wèn)的局部性)

Duringthecourseoftheexecutionofaprogram,memoryreferencestendto

cluster.

MappingFunction(映射功能)

-一直接映射:是最簡(jiǎn)潔的映射技術(shù),將主存中的每一塊映射到一個(gè)固定可用的cache

行中。命中率低

--全相聯(lián)映射:全相聯(lián)映射克服了直接映射的缺點(diǎn),它允許每一個(gè)主存塊裝入cache

中的任意行。命中率最高,成本也高。

一-組相聯(lián)映射:中和了直接映射的和全相聯(lián)映射的優(yōu)點(diǎn)。

替換算法:

一最近最少使用的被替換一先進(jìn)先出一最不常用一隨機(jī)

寫(xiě)策略:

寫(xiě)直達(dá)技術(shù):--對(duì)全部操作都同時(shí)對(duì)主存和cache進(jìn)行,以保證主存中的數(shù)據(jù)總是有

效的。缺點(diǎn)是產(chǎn)生了大量的儲(chǔ)存通信量,可能引起瓶頸問(wèn)題。

寫(xiě)回法:它削減了主存的寫(xiě)入。只更新cache中的數(shù)據(jù)。缺點(diǎn)是部分主存數(shù)據(jù)無(wú)效,

I/O模塊的存取只允許通過(guò)cache進(jìn)行。

第五章

DRAM:采用電容充電來(lái)儲(chǔ)存數(shù)據(jù),位元中的電容有、無(wú)電荷分別代表二進(jìn)制的1或

0.需要周期地充電刷新來(lái)維持?jǐn)?shù)據(jù)的儲(chǔ)存。

SRAM:是一個(gè)數(shù)字設(shè)施,它使用與處理器相同的規(guī)律元件,只要電源不斷,將始終

保持它所存儲(chǔ)的數(shù)據(jù)。

兩者的區(qū)分:

—DRAM位元小且電路簡(jiǎn)潔--DRAM密度高且價(jià)格低---DRAM要求有支持刷新

的電路

—SRAM速度快,用于cache,DRAM用于住儲(chǔ)存器

模塊組織:

第七章

I/。模塊

--主要功能或需求分為掌握和定時(shí),處理器通信,設(shè)施通信,數(shù)據(jù)緩沖槍錯(cuò)幾種.

--過(guò)程:1.處理器查詢(xún)I/O模塊,以檢查所連接設(shè)施的狀態(tài)。2.1/0模塊返回設(shè)施狀態(tài)。

3.假如設(shè)施運(yùn)轉(zhuǎn)正常,并預(yù)備就緒,則處理器通過(guò)向I/O模塊發(fā)出一條命令,懇求數(shù)據(jù)傳

送。4.1/0模塊獲得來(lái)自外設(shè)的一個(gè)數(shù)據(jù)單元。5.數(shù)據(jù)從I/O模塊傳送處處理器。

編址方式

一儲(chǔ)存器映射式I/O:儲(chǔ)存單元和I/O設(shè)施有單一的地址空間。處理器將I/O模塊的

狀態(tài)和數(shù)據(jù)寄存器看成儲(chǔ)存單元一樣對(duì)待,使用相同的機(jī)器指令來(lái)訪問(wèn)儲(chǔ)存器和I/O設(shè)施。

--分別式I/。:讓總線即有儲(chǔ)存器的讀線和寫(xiě)線,同時(shí)也有輸入和輸出的命令線。

第九章

整數(shù)表示(9.2)

符號(hào)-幅值表示法(921)

2的補(bǔ)碼表示法(922)

不同位長(zhǎng)之間的轉(zhuǎn)換(923)

整數(shù)算數(shù)加減法的上溢規(guī)章:兩個(gè)數(shù)相加,若他們同為正數(shù)或同為負(fù)數(shù),則當(dāng)且僅當(dāng)

結(jié)果的符號(hào)位變?yōu)橄喾磿r(shí)才消失上溢。

無(wú)符號(hào)位的整數(shù)乘法

圖94無(wú)符號(hào)二進(jìn)制桀法的便件實(shí)現(xiàn)

浮點(diǎn)數(shù)表示

第十章

機(jī)器指令要素:一操作碼一源操作數(shù)引用一結(jié)果操作數(shù)引用一下一指令引用一

主存或虛存-一處理器寄存器--馬上數(shù)---I/O設(shè)施

指令表示:

ADD加SUB減MUL乘DIV除LOAD由儲(chǔ)存器裝入STOR保存到儲(chǔ)存器

指令類(lèi)型:

數(shù)據(jù)處理:算數(shù)和規(guī)律指令;

數(shù)據(jù)儲(chǔ)存儲(chǔ)存器指令;

數(shù)據(jù)傳送I/O指令;

掌握:測(cè)試和分支(branch)指令。

地址數(shù)目:

有單地址指令,兩地址指令,三地址指令三種方式。

單地址指令這在早先機(jī)器中是很普遍的,其隱含地址是被稱(chēng)為累加器的CPU寄存器。

累加器供應(yīng)一個(gè)操作數(shù),且結(jié)果被保存回累加器。

第十一章

尋址方式:

--馬上尋址:殉職的最簡(jiǎn)潔的形式是馬上尋址。優(yōu)點(diǎn):除了取指指令外,獲得操作數(shù)

不要求此外的儲(chǔ)存器訪問(wèn),于是節(jié)約了一個(gè)儲(chǔ)存器或高速緩存周期。其缺點(diǎn)是數(shù)的大小受

限于地址字段的長(zhǎng)度,而在大多數(shù)指令集中此字段長(zhǎng)度與子長(zhǎng)度相比是比較短的。

--直接尋址:只要求一次儲(chǔ)存器訪問(wèn),而且不需要為生成地址的特地計(jì)算。不足是只

能供應(yīng)有限的地址空間。

--間接尋址:讓地址字段指示一個(gè)儲(chǔ)存器字地址,而此地址處保存有操作數(shù)的全長(zhǎng)度

地址。優(yōu)點(diǎn)是對(duì)于N位字長(zhǎng)來(lái)說(shuō)能有2的N次方個(gè)地址可用。缺點(diǎn)是為了取一個(gè)操作數(shù),

指令執(zhí)行需要兩次訪問(wèn)儲(chǔ)存器,第一次為了得到地址,其次次才是得到它的值。

--寄存器尋址:類(lèi)似于直接尋址。唯一的不同是地址字段指的是寄存器而不是一個(gè)主

存地址

優(yōu)點(diǎn):一是指令中需要一個(gè)較小的地址字段,二是不需要儲(chǔ)存器訪問(wèn)。缺點(diǎn)是地址空

間特別有限。

--寄存器間接尋址:類(lèi)似于間接尋址。兩種狀況唯一的不同是,地址字段指的是儲(chǔ)存

器位置還是寄存器。

--偏移尋址:三種偏移尋址--相對(duì)尋址--基址寄存器尋址--變址

第十二章

指令周期:--取址斗各下一條指令由儲(chǔ)存器讀入CPU--執(zhí)行:解釋操作碼并完成指定

的操作一中斷若中斷是允許的并且有中斷發(fā)生,則保存當(dāng)前進(jìn)程的狀態(tài)并為此中斷

間接周期:

噪篇求蕊它圖瑞常湍賦/溫需

一個(gè)類(lèi)似的過(guò)程將箏果存入主存。

間接間接

多個(gè)操

住故

流水線策略:

對(duì)處理進(jìn)行如下分解-一取指令(FI)--譯碼指令(DI)---計(jì)算操作數(shù)(C。)---取操作

數(shù)(F0)一執(zhí)行指令(ED-一寫(xiě)操作數(shù)(W0)

寫(xiě)后讀相關(guān)性也是真相關(guān)

讀后寫(xiě)也是反相關(guān)

寫(xiě)后寫(xiě)是輸出相關(guān)

處理分支指令

方法:--多個(gè)指令流--預(yù)取分支目標(biāo)-一循環(huán)緩沖器--分支猜測(cè)--延遲分支

多個(gè)指令流:復(fù)制流水線的開(kāi)頭部分,并允許流水線同時(shí)取這兩條指令,使用兩個(gè)指令流.

帶來(lái)的問(wèn)題有1.使用多個(gè)流水線,會(huì)對(duì)有寄存器和儲(chǔ)存器訪問(wèn)的競(jìng)爭(zhēng)延遲2在原先的分支

推斷還沒(méi)有解決之前,可能又有此外的分支指令進(jìn)入流水線.

預(yù)取分支目標(biāo):識(shí)別出一個(gè)條件分支指令時(shí),除了取此分支指令之后的指令外,分支目

標(biāo)出的指令也被取來(lái).這個(gè)目標(biāo)被保存直到分支指令被執(zhí)行.若是分支發(fā)生,則目標(biāo)已經(jīng)被預(yù)

取來(lái)了.

緩沖儲(chǔ)存器:取址階段維護(hù)的一個(gè)小的但極高速的儲(chǔ)存器,含有n條最近挨次取來(lái)的指

令.若一個(gè)轉(zhuǎn)移將要發(fā)生,硬件首先檢查轉(zhuǎn)移目標(biāo)是否在此緩沖器中.若是,則下一條指令由此

緩沖器取得.特別適合循環(huán)或迭代.

分支猜測(cè):猜測(cè)絕不發(fā)生,猜測(cè)總是發(fā)生,依操作碼猜測(cè),發(fā)生/不發(fā)生切換,轉(zhuǎn)移歷史表.

延遲分支:改進(jìn)流水性能的另一可能方法是自動(dòng)重升轎呈序中的指令,這樣可以把一條分

支指令移到實(shí)際所期望的位置之后.

第十三章

計(jì)算機(jī)誕生以來(lái)主要的進(jìn)步有:-一系列概念(familyconcept)--微程序式掌握器

(microprogrammedcontrolunit)---高速緩存存儲(chǔ)器(cachememory)---流水

(pipelining)一多個(gè)處理器(multipleprocessors)一精簡(jiǎn)指令集計(jì)算機(jī)(RISC)結(jié)構(gòu)

RISCCharacteristics

?Oneinstructionpercycle

?Registertoregisteroperations

?Few,simpleaddressingmodes

?Few,simpleinstructionformats

?Hardwireddesign(nomicrocode)

?Fixedinstructionformat

?Morecompiletime/effort

RISCvCISC

?Notclearcut

?Manydesignsborrowfromboth

philosophies

?e.g.PowerPCandPentiumII

RISC與CISC特征對(duì)比

CISC(簡(jiǎn)單指令集計(jì)算機(jī))和RISC(精簡(jiǎn)指令集計(jì)算機(jī))是當(dāng)前CPU的兩種架構(gòu)。它們的

區(qū)分在于不同的CPU設(shè)計(jì)理念和方法。早期的CPU全部是CISC架構(gòu),它的設(shè)計(jì)目的是

要用最少的機(jī)器語(yǔ)言指令來(lái)完成所需的計(jì)算任務(wù)。RISC和CISC是設(shè)計(jì)制造微處理器的兩

種典型技術(shù),雖然它們都是試圖在體系結(jié)構(gòu)、操作運(yùn)行、軟件硬件、編譯時(shí)間和運(yùn)行時(shí)間

等諸多因素中做出某種平衡,以求達(dá)到高效的目的,但采納的方法不同,因此,在許多方

面差異很大,它們主要有:

(1)指令系統(tǒng):RISC設(shè)計(jì)者把主要精力放在那些常常使用的指令上,盡量使它們具

有簡(jiǎn)潔高效的特色。對(duì)不常用的功能,常通過(guò)組合指令來(lái)完成。因此,在RISC機(jī)器上實(shí)

現(xiàn)特別功能時(shí),效率可能較低。但可以采用流水技術(shù)和超標(biāo)量技術(shù)加以改進(jìn)和彌補(bǔ)。而CISC

計(jì)算機(jī)的指令系統(tǒng)比較豐富,有專(zhuān)用指令來(lái)完成特定的功能。因此,處理特別任務(wù)效率較

后1。

(2)存儲(chǔ)器操作:RISC對(duì)存儲(chǔ)器操作有限制,使掌握簡(jiǎn)潔化;而CISC機(jī)器的存儲(chǔ)

器操作指令多,操作直接。

(3)程序:RISC匯鰻宣程序一般需要較大的內(nèi)存空間,實(shí)現(xiàn)特別功能時(shí)程序簡(jiǎn)單,

不易設(shè)計(jì);而CISC匯編語(yǔ)言程序編程相對(duì)簡(jiǎn)潔,科學(xué)計(jì)算及簡(jiǎn)單操作的程序社設(shè)計(jì)相對(duì)

簡(jiǎn)潔,效率較高。

98年Cyrix生產(chǎn)的"CPU"

(4)生斷:RISC機(jī)器在一條指令執(zhí)行的適當(dāng)?shù)胤娇梢皂憫?yīng)中斷;而CISC機(jī)器是在

一條指令執(zhí)行結(jié)束后響應(yīng)中斷。

(5)CPU:RISCCPU包含有較少的單元電路,因而面積小、功耗低;而CISCCPU

包含有豐富的電路單元,因而功能強(qiáng)、面積大、功耗大。(6)設(shè)計(jì)周期:RISC微處理器

結(jié)構(gòu)簡(jiǎn)潔,布局緊湊,設(shè)計(jì)周期短,且易于采納最新技術(shù);CISC微處理器結(jié)構(gòu)簡(jiǎn)單,設(shè)計(jì)

周期長(zhǎng)。

(7)用戶(hù)使用:RISC微處理器結(jié)構(gòu)簡(jiǎn)潔,指令規(guī)整,性能簡(jiǎn)潔把握,易學(xué)易用;CISC

微處理器結(jié)構(gòu)簡(jiǎn)單,功能強(qiáng)大,實(shí)現(xiàn)特別功能簡(jiǎn)潔。

(8)應(yīng)用范圍:由于RISC指令系統(tǒng)的確定與特定的應(yīng)用領(lǐng)域有關(guān),故RISC機(jī)器更

適合于專(zhuān)用機(jī);而CISC機(jī)器則更適合于通用機(jī)。

RISC流水線技術(shù)

指令周期有兩個(gè)階段:--1:取指令--E:執(zhí)行

對(duì)于裝載和愛(ài)護(hù)操作需要三個(gè)階段:—I:取指令--E:執(zhí)行(計(jì)算存儲(chǔ)器地址)-一

儲(chǔ)存(寄存器到儲(chǔ)存器或儲(chǔ)存器到寄存器操作)

E通常涉及一個(gè)ALU操作,所以分為兩個(gè)子階段:

—E1:寄存器組讀--E2:ALU操作和寄存器寫(xiě)

流水線的優(yōu)化:

1.延遲分支:它采用了分支指令直到下面一條指令之后才產(chǎn)生影響的這一天特點(diǎn),在

分支指令之后支配一條有用指令來(lái)替代僅為延遲的空操作。

2彳盾環(huán)綻開(kāi):通過(guò)以下方法來(lái)提高性能的--降低循環(huán)開(kāi)銷(xiāo)---通過(guò)提升流水線性能來(lái)提

高指令并行性--提高寄存器、數(shù)據(jù)高速緩存或頁(yè)表快速緩存。

第十四章

什么是超標(biāo)量--在不同流水線中獨(dú)立執(zhí)行指令的力量-一對(duì)RISC和CISC同樣適用

--多數(shù)應(yīng)用于RISC

限制:指令級(jí)并行性:指的是程序指令能并行執(zhí)行的程度。

--真實(shí)數(shù)據(jù)相關(guān)性:寫(xiě)后讀相關(guān)性

--反相關(guān)性:讀后寫(xiě)相關(guān)性

--輸出相關(guān)性:寫(xiě)后寫(xiě)相關(guān)性

--過(guò)程相關(guān)性:分支(發(fā)生或不發(fā)生轉(zhuǎn)移)之后的指令有對(duì)分支指令的過(guò)程相關(guān)性,

而且直到分支指令被執(zhí)行之前它們不能去執(zhí)行。

--資源沖突:資源沖突是兩個(gè)或多個(gè)指令同時(shí)競(jìng)爭(zhēng)同一資源。資源的例子包括儲(chǔ)存器、

cache、總線、寄存器組端口和功能單元(如ALU加法器)

指令放射策略:

按序放射按序完成:嚴(yán)格的根據(jù)挨次執(zhí)行的那個(gè)挨次放射指令,并以同樣的挨次寫(xiě)結(jié)

果。

按序放射亂序完成:

亂序放射亂序完成:

限制:口執(zhí)行要求兩個(gè)執(zhí)行周期

13和14為使用同一功能單元而發(fā)生沖突

15依靠于14產(chǎn)生的值

15和16為使用同一功能單元而發(fā)生沖突。

只要(】)指令所需的共作功能單元

黑花露荔第盛;而誦獻(xiàn)叱:干寄存器的使;

是可用的,以及<2)沒(méi)有沖突或反相關(guān)拄和,

相定性阻塞這條指令,那任何指令

?,產(chǎn)生了妨碣,

都可戰(zhàn)破發(fā)的“術(shù)力圖最貝

這尊好蚊方式的結(jié)果是?處理

對(duì)付這種類(lèi)

器有先行愛(ài)找的能力?允許它漢別

那樣靠放入執(zhí)行我的獨(dú)立指令指的語(yǔ)境中,此技

1

令由指令窗口發(fā)射出去的次序很少~和依序完成.口配,并且它們、

道感它們晚來(lái)的程序?序。同前面休操作數(shù)的指令

一樣,唯一的限制是程序執(zhí)行的結(jié)指令必須通過(guò)

果是正確的二的引用,于是?

圖l4Vc說(shuō)明了這熱策略。每

周期兩條指令取入中碼段由于“

讓我們考E

沖器大小限制,每周期兩條指令由

b)接呼發(fā)射國(guó)亂廳完喊n:R3?—R3

許碼段進(jìn)入指令窗口.在這個(gè)例子12訊小尸仁

中,指令16先于H被發(fā)射是可能I3xB3^*-R'

14:R7?-R

的(回也一下,15依陵于14.但⑹to

不這樣)干是.執(zhí)行和寫(xiě)回兩段不帶下標(biāo)I

五妁r一?。齣t一與mub直用來(lái)保存新值

比酸.端到端了一個(gè)周期。

—用1414c漏薪的指令窗口

caur發(fā)射和氤件完成)o

加強(qiáng)并行性的兩種方法是:

一硬用支術(shù)一編譯器優(yōu)化技術(shù)

機(jī)器并行^性:

提高性能的三種硬件技術(shù):資源復(fù)制、亂序放射和重命名。

沒(méi)有寄存器重命名而添加功能單元可能不會(huì)很有價(jià)值。

需要足夠大的指令窗口。

寄存器重命名(資源復(fù)制)

本質(zhì)上,寄存器由處理器硬件動(dòng)態(tài)安排,并且它們與各時(shí)間點(diǎn)指令所需值相關(guān)。當(dāng)一

個(gè)新寄存器值產(chǎn)生時(shí)(即當(dāng)一條以寄存器為目標(biāo)操作數(shù)的指令執(zhí)行時(shí)),一個(gè)新寄存器安排

給那個(gè)值。

延遲分支:

RISC-DelayedBranch

?Calculateresultofbranchbeforeunusable

instructionspre-fetched

?Alwaysexecutesingleinstructionimmediately

followingbranch

?Keepspipelinefullwhilefetchingnewinstruction

stream

?Notasgoodforsuperscalar

Multipleinstructionsneedtoexecuteindelayslot

Instructiondependenceproblems

?Reverttobranchprediction

超標(biāo)量執(zhí)行:

SuperscalarImplementation

?Simultaneouslyfetchmultipleinstructions

?Logictodeterminetruedependencies

involvingregistervalues

?Mechanismstocommunicatethesevalues

?Mechanismstoinitiatemultiple

instructionsinparallel

?Resourcesforparallelexecutionof

multipleinstructions

?Mechanismsforcommittingprocessstate

incorrectorder

第十五章

CPU所需要處理的事項(xiàng)如下:

1.操作(操作碼)2.尋址方式3.寄存器組4.1/0模塊接口5.內(nèi)存模塊接口6.中斷

微操作:一個(gè)程序的執(zhí)行是由指令的挨次執(zhí)行組成。每條指令的執(zhí)行是一個(gè)指令周期,

每個(gè)指令周期由更短的子周期(如取址、間接、執(zhí)行、中斷)組成。每個(gè)子周期的完成又

涉及一個(gè)或多個(gè)更短的操作。

取址周期:

涉及到4個(gè)寄存器

--儲(chǔ)存器地址寄存器(MAR):連接到系統(tǒng)總線的地址線。它指定了讀、寫(xiě)操作的內(nèi)

存地址。

--儲(chǔ)存器緩沖寄存器(MBR):連接到系統(tǒng)總線的數(shù)據(jù)線。它存放將被存入內(nèi)存的值

或最近從內(nèi)存讀取出的值。

--程序計(jì)數(shù)器(PC):保存待取的下一條指令的地址。

--指令寄存器(IR):保存最近取來(lái)的指令。

取址操作大事的挨次:

第一個(gè)時(shí)間單位PC內(nèi)容傳送到MAR。

其次個(gè)時(shí)間單位被MAR指定的內(nèi)存中的內(nèi)容存放到MBR中,PC遞增1.

第三個(gè)時(shí)間單位傳送MBR的內(nèi)容到IR

事物流淌遵守的原則:1.大事的流淌挨次必需是恰當(dāng)?shù)?。于是,(MAR<-(PC))必需

先于(MBR<-內(nèi)存),由于內(nèi)存讀取操作要使用MAR中的地址。2.必需避開(kāi)沖突。不要試

圖在一個(gè)時(shí)間單位里去讀、寫(xiě)同一個(gè)寄存器,茍澤結(jié)果是不行預(yù)料的。

間接周期:

包括了下列微操作:

11:MAR<-(IR(地址))

t2:MBR<-內(nèi)存

t3:IR(地址)<-(MBR(地址))

中斷周期微操作步驟:

11:MBR<-(PC)

t2:MAR?保存地址

PC<-子程序地址

t3:內(nèi)存<-(MBR)

執(zhí)行周期

e.g.ADDR1,X-addthecontentsoflocationXto

Register1,resultinRI

?tl:MAR<-(IRaddress)

?t2:MBR<-(memory)

?t3:RI<-RI+(MBR)

ISZX(遞增,若為0則跳步)

tl:MAR—(IRaddress)

t2:MBR—(memory)

t3:MBR-(MBR)+1

t4:memory—(MBR)

if(MBR)==0thenPC—(PC)+1

BSAX(轉(zhuǎn)移并保存地址)

tl:MAR<-(IRaddress)

MBR<-(PC)

t2:PC<-(IRaddress)

memory<-(MBR)

t3:PC<-(PC)+1

指令周期分為:取指間接執(zhí)行中斷。

第十六章

使用微程序?qū)崿F(xiàn)掌握器的優(yōu)點(diǎn)在于,簡(jiǎn)化了掌握器的設(shè)計(jì)任務(wù),實(shí)現(xiàn)起來(lái)即成本較低,

也能削減出錯(cuò)機(jī)會(huì)。硬布線掌握器需要一個(gè)簡(jiǎn)單的規(guī)律,用來(lái)使指令周期的眾多微操作按

序執(zhí)行。而微程序掌握器的譯碼器和定序規(guī)律單元式很簡(jiǎn)潔的規(guī)律電路。

微程序掌握器的主要缺點(diǎn)是:要比采納相同或相近半導(dǎo)體工藝的硬布線掌握器慢一些。

盡管如此,由于它的易實(shí)現(xiàn)性,使微程序設(shè)計(jì)成為當(dāng)今CISC掌握器的主導(dǎo)技術(shù)。而對(duì)于

RISC處理器,由于它們的簡(jiǎn)潔指令格式,一般使用硬布線掌握器。

硬布線掌握器與微程序掌握器的對(duì)比

CISC更適于采納微程序掌握,而RISC更適于采納硬布線掌握規(guī)律。

硬布線掌握器與微程序掌握器相比較,在操作掌握信號(hào)的形成上有較大的區(qū)分外,其

它沒(méi)有本質(zhì)的區(qū)分。對(duì)于實(shí)現(xiàn)相同的一條指令,不管是采納硬布線掌握還是采納微程序掌

握技術(shù),都可以采納多種規(guī)律設(shè)計(jì)方案,導(dǎo)致了各種不同的掌握器在詳細(xì)實(shí)現(xiàn)方法和手段

上的區(qū)分,性能差異。

硬布線掌握與微程序掌握的主要區(qū)分歸納為如下方面:

實(shí)現(xiàn)方式

微程序掌握器的掌握功能是在存放微程序存儲(chǔ)器和存放當(dāng)前正在執(zhí)行的微指令的寄存

器直接掌握下實(shí)現(xiàn)的,而硬布線掌握的功能則由規(guī)律門(mén)組合實(shí)現(xiàn)。微程序掌握器的電路比

較規(guī)整,各條指令信號(hào)的差別集中在掌握存儲(chǔ)器內(nèi)容上,因此,無(wú)論是增加或修改指令都

只要增加或修改掌握存儲(chǔ)器內(nèi)容即可,若掌握存儲(chǔ)器是ROM,則要更換芯片,在設(shè)計(jì)階

段可以先用RAM或EPROM來(lái)實(shí)現(xiàn),驗(yàn)證正確后或成批生產(chǎn)時(shí),再用ROM代替。硬布

線掌握器的掌握信號(hào)先用規(guī)律式列出,經(jīng)化簡(jiǎn)后用電路來(lái)實(shí)現(xiàn),因此,顯得零亂簡(jiǎn)單,當(dāng)

需要修改指令或增加指令時(shí)就必需重新設(shè)計(jì)電路,特別麻煩而且有時(shí)甚至無(wú)法轉(zhuǎn)變。因此,

微操作掌握取代了硬布線掌握并得到了廣泛應(yīng)用,尤其是指令簡(jiǎn)單的計(jì)算機(jī),一般都采納

微程序來(lái)實(shí)現(xiàn)掌握功能。

性能方面

在同樣的半導(dǎo)體工藝條件下,微程序掌握的速度比硬布線掌握的速度低,由于執(zhí)行每

條微程序指令都要從掌握存儲(chǔ)器中讀取,影響了速度;而硬布線掌握規(guī)律主要取決于電路

延時(shí),因而在超高速機(jī)器中,對(duì)影響速度的關(guān)鍵部分如核心部件CPU,往往采納硬布線規(guī)

律實(shí)現(xiàn)。近年來(lái),在一些新型計(jì)算機(jī)系統(tǒng)中,例如,RISC(精簡(jiǎn)指令系統(tǒng)計(jì)算機(jī))中,一般

都選用硬布線規(guī)律電路

掌握儲(chǔ)存器的作用:Thecontrolunitofaprocessorperformstwotasks:(1)It

causestheprocessortoexecutemicro-operationsinthepropersequence,

determinedbytheprogrambeingexecuted,and(2)itgeneratesthecontrol

signalsthatcauseeachmicro-operationtobeexecuted.

雙地址字段得到下一地址的來(lái)源有:兩個(gè)地址字段和指令寄存器。

單地址得到下一地址的來(lái)源是:一地址字段…指令寄存器代碼一下一挨次地址

第十七章

計(jì)算機(jī)系統(tǒng)類(lèi)型:-一單指令單數(shù)據(jù)流(SISD)-一單指令多數(shù)據(jù)流(SIMD)一多

指令單數(shù)據(jù)流(MISD)--多指令多數(shù)據(jù)流(MIMD)

對(duì)稱(chēng)多處理器(SMP)優(yōu)點(diǎn):--性能:假如可以對(duì)一臺(tái)計(jì)算機(jī)完成的工作進(jìn)行組織,

使得某些工作部分能夠并行完成,則具有多個(gè)處理器的系統(tǒng)與具有相同類(lèi)型的單個(gè)處理器

的系統(tǒng)相比,將產(chǎn)生更高的性能。--可

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論