基于FPGA的數(shù)字電壓表的設(shè)計_第1頁
基于FPGA的數(shù)字電壓表的設(shè)計_第2頁
基于FPGA的數(shù)字電壓表的設(shè)計_第3頁
基于FPGA的數(shù)字電壓表的設(shè)計_第4頁
基于FPGA的數(shù)字電壓表的設(shè)計_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

基于FPGA的數(shù)字電壓表的設(shè)計摘要電子設(shè)計自動化(electronicdesignautomation,EDA)是近幾年迅速發(fā)展起來的將計算機(jī)軟件、硬件、微電子技術(shù)交叉運(yùn)用的現(xiàn)代電子設(shè)計技術(shù)。其中EDA設(shè)計語言中的VHDL語言是一種快速的電路設(shè)計工具,功能涵蓋了電路描述、電路綜合、電路仿真等三大電路設(shè)計內(nèi)容。本電壓表的電路設(shè)計正是用VHDL語言完成的。此次設(shè)計主要應(yīng)用的軟件是美國ALTERA公司自行設(shè)計的一種CAE軟件工具,即MAX+PLUSⅡ。本次所設(shè)計的電壓表的測量范圍是0~5V,精度為0.01V。此電壓表的設(shè)計特點(diǎn)為:通過軟件編程下載到硬件實(shí)現(xiàn),設(shè)計周期短,開發(fā)效率高。關(guān)鍵詞:電子設(shè)計自動化(EDA);FPGA;VHDL;A/D采集;數(shù)字電壓表DesignofDitalVoltmeterBasedonFPGAXieXingDu(CollegeofZhangJiajie,JishouUniversity,Jishou,Hunan416000)AbstractThedesignofdigitalsystemisbecomingfaster,bulkier,smallerandlighterthanbefore.Electronicdesignautomationisinthelastfewyearsquicklydevelop,itmakesuseofsoftware,hardware,micro-electronicstechnologytoformacourseofelectronicdesign.Amongthem,theVHDLlanguageofEDAisakindoftooloffastcircuitdesign,thefunctioncoveredthecircuitdescribe,thecircuitsynthesize,thecircuitimitatethetrueetc.ThecircuitofthedesignthatuseVHDLlanguagetocomplete.ThethistimedesignisprimarilytheappliedsoftwareisMAXPLUSⅡ

whichismadebytheUnitedStatesALTERAcompany.Thissystem’srangeis-5vto+5vandprecisionis0.01v.Characteristicsofthiselectricvoltagewatchis:Passthesoftwareprogramtodownloadthehardwareorealize,designtheperiodisshort,developmenttheefficiencyishigh.Keywords:ElectronicDesignAutomation(EDA);FPGA;VHDL;A/DAcquisitiondigitalvoltage目錄第一章緒論

11.1

研究目的及意義

11.2本課題國內(nèi)外研究概況、應(yīng)用前景

11.3研究的主要內(nèi)容

2第二章相關(guān)技術(shù)簡介

32.1EDA技術(shù)的簡介

32.2FPGA的概念與特點(diǎn)

32.3VHDL語言概述

52.4軟件工具M(jìn)AX+PLUSII的簡介

6第三章硬件電路設(shè)計

83.1硬件電路框圖

83.2ADC0809模塊

93.2.1A/D轉(zhuǎn)換器的主要技術(shù)指標(biāo)

93.2.2ADC0809工作原理

93.2.3.工作時序

113.3FPGA模塊

11第四章FPGA功能模塊的設(shè)計及仿真

144.2控制模塊

144.3數(shù)據(jù)處理模塊

154.4掃描、顯示模塊

15第五章總結(jié)

17參考文獻(xiàn)

18附錄

19附錄一:總電路圖

19附錄二:程序清單

20致

24第一章緒論1.1研究目的及意義數(shù)字電壓表(DigitalVoltmeter)簡稱DVM,是大學(xué)物理教學(xué)和實(shí)驗中的重要儀表,其數(shù)字化是指將連續(xù)的模擬電壓量轉(zhuǎn)換成不連續(xù)、離散的數(shù)字量并加以顯示。傳統(tǒng)的實(shí)驗用模擬電壓表功能單一、精度低、體積大,且存在讀數(shù)時的視差,長時間連續(xù)使用易引起視覺疲勞,使用中存在諸多不便。而目前數(shù)字萬用表的內(nèi)部核心多是模/數(shù)轉(zhuǎn)換器,其精度很大程度上限制了整個表的準(zhǔn)確度,可靠性較差。傳統(tǒng)的數(shù)字電壓表設(shè)汁通常以大規(guī)模ASIC(專用集成電路)為核心器件,并輔以少量中規(guī)模集成電路及顯示器件構(gòu)成。ASIC完成從模擬量的輸入到數(shù)字量的輸出,是數(shù)字電壓表的心臟。這種電壓表的設(shè)計簡單、精確度高,但是這種設(shè)計方法由于采用了ASIC器件使得它欠缺靈活性,其系統(tǒng)功能固定,難以更新擴(kuò)展。后來發(fā)展起來的用微處理器(單片機(jī))控制通用A/D轉(zhuǎn)換器件的數(shù)字電壓表的設(shè)計的靈活性明顯提高,系統(tǒng)功能的擴(kuò)展變得簡單,但是由于微處理器的引腳數(shù)量有限,其控制轉(zhuǎn)換速度和靈活性還是不能滿足日益發(fā)展的電子工業(yè)的需求。而應(yīng)用EDA(電子設(shè)汁自動化)技術(shù)及FPGA(現(xiàn)場可編程門陣列),其集成度高、速度快、性能十分可靠、用戶可自由編程且編程語言通俗易懂、系統(tǒng)功能擴(kuò)展非常方便。采用FPGA芯片控制通用A/D轉(zhuǎn)換器可使速度、靈活性大大優(yōu)于由微處理器和通用A/D轉(zhuǎn)換器構(gòu)成的數(shù)字電壓表。本文采用8位A/D轉(zhuǎn)換器ADC0809對模擬電壓采樣,以一片高性能FPGA芯片為控制核心,以軟件實(shí)現(xiàn)了諸多硬件功能,對電壓信號的轉(zhuǎn)換結(jié)果進(jìn)行準(zhǔn)確實(shí)時的運(yùn)算處理并送出顯示。系統(tǒng)的主要功能都集成在一塊芯片上,大大減少了系統(tǒng)的分立元件數(shù)量,降低了功耗,增加了可靠性,較好地實(shí)現(xiàn)了電壓的精準(zhǔn)測量。1.2本課題國內(nèi)外研究概況、應(yīng)用前景科學(xué)技術(shù)的發(fā)展為測量儀器、儀表提供了新原理和新技術(shù)以及新型的元、器體,同時又對測量儀表提出了更新、更高的要求。數(shù)字電壓表(簡寫為DVM)就是在精密電測量技術(shù)、計算技術(shù)、自動化技術(shù)和電子技術(shù)的基礎(chǔ)上產(chǎn)生和發(fā)展起來的。數(shù)字式儀表是能把連續(xù)的被測量自動地變成斷續(xù)的、用數(shù)字編碼方式的、并以十進(jìn)制數(shù)字自動顯示測量結(jié)果的一種測量儀表。這是一種新型儀表,它把電子技術(shù)、計算技術(shù)、自動化技術(shù)的成果與精密電測量技術(shù)密切的結(jié)合在一起。成為儀器、儀表領(lǐng)域中獨(dú)立而完整的一個分支。1952年,美國NLS公司首創(chuàng)四位數(shù)字電壓表,到現(xiàn)在的五十多年中經(jīng)過了不斷的改進(jìn)和提高。電壓表是從電位差計的自動化考慮中研制成功的,開始是四位然后是五位、六位,而現(xiàn)在發(fā)展到七位、八位數(shù)碼顯示;從最初的一、二種工作原理發(fā)展到幾十種原理,從最早采用繼電器、電子管發(fā)展到全晶體管化、集成電路化、微處理器化;認(rèn)一臺DVM只能測一、二種參數(shù)到能測十幾種參數(shù)的多用型;顯示器件也從輝光數(shù)碼顯示發(fā)展到等離子體、發(fā)光二極管、液晶顯示等。電壓表的體積和功耗越來越小,重量不斷減輕,價格也逐步下降,可靠性越來越高,量程范圍也逐漸擴(kuò)大?;仡櫼幌码妷罕淼陌l(fā)展過程,大致可分為以下三個階段:數(shù)字化階段。50-60年代中期,電壓表的特點(diǎn)是運(yùn)用各種原理實(shí)現(xiàn)模數(shù)(A/D)轉(zhuǎn)換,即將模擬量轉(zhuǎn)化成數(shù)字量,從而實(shí)現(xiàn)測量儀表的數(shù)字化。高準(zhǔn)確度階段。由于精密電測量的需要,電壓表開始向高準(zhǔn)確度、高位數(shù)方向發(fā)展,出現(xiàn)了所謂復(fù)合型原理的儀表。智能化階段。60年代末期,電子技術(shù)和工藝結(jié)構(gòu)有了飛躍的發(fā)展,而大規(guī)模集成電路(LSI)與計算機(jī)技術(shù)相結(jié)合的產(chǎn)物是微處理器(簡寫為μP)。1972年,美國Intel公司首創(chuàng)微處理器不久即研制出微處理器式數(shù)字電壓表,實(shí)現(xiàn)了電壓表數(shù)據(jù)處理自動化和可編程序。因為帶有存貯器并使用軟件,所以可進(jìn)行信息處理,可通過標(biāo)準(zhǔn)接口組成自動測試系統(tǒng)(簡寫為ATS)。這些儀表除了完成原有電壓表的各種功能外,還能夠自校、自檢,保證了自動測量的高準(zhǔn)確度,實(shí)現(xiàn)了儀器、儀表的所謂“智能化”。當(dāng)前,智能表發(fā)展十分迅速,而微處理式電壓表在智能儀表中占的比重最大。智能化的電壓表為實(shí)現(xiàn)各種物理量的動態(tài)測量提供了可能。1.3研究的主要內(nèi)容本課題主要研究數(shù)字電壓表的一般設(shè)計原理,并結(jié)合新型的可編程邏輯器件(FPGA)設(shè)計了一種方便、實(shí)用的數(shù)字電壓表。我主要設(shè)計軟件那部分。采用ACEX1k30TC144-3的一款FPGA芯片實(shí)現(xiàn)電壓表的數(shù)碼顯示的功能。設(shè)計中所要求設(shè)計的數(shù)字電壓表為4位,由三大部分組成,數(shù)據(jù)轉(zhuǎn)換模塊進(jìn)行模數(shù)轉(zhuǎn)換后到數(shù)據(jù)處理模塊處理得到BCD碼轉(zhuǎn)換成能被數(shù)碼管識別的字型編碼,再到顯示模塊,每一部分又包含了若干子電路,將各電路組合起來,就構(gòu)成了一個整體。硬件設(shè)計所需的硬件主要有:課變直流電平輸出電路、ADC0809、七段顯示器、ACEX1k30TC144-3適配器。第二章相關(guān)技術(shù)簡介2.1EDA技術(shù)的簡介隨著微電子技術(shù)和計算機(jī)技術(shù)的不斷發(fā)展,在涉及機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等領(lǐng)域的電子系統(tǒng)設(shè)計工作中,EDA技術(shù)的含量正以驚人的速度上升,它已成為當(dāng)今電子技術(shù)發(fā)展的前沿之一。EDA以計算機(jī)為工具,設(shè)計者在其軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機(jī)自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。利用EDA技術(shù)(特指IES/ASIC自動設(shè)計技術(shù))進(jìn)行電子系統(tǒng)的設(shè)計,具有以下幾個特點(diǎn):①用軟件的方式設(shè)計硬件;②用軟件方式設(shè)計的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動完成的;③設(shè)計過程中可用有關(guān)軟件進(jìn)行各種仿真;④系統(tǒng)可現(xiàn)場編程,在線升級;⑤整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高;6.從以前的“組合設(shè)計”轉(zhuǎn)向真正的“自由設(shè)計”;7.設(shè)計的移植性好,效率高;8.非常適合分工設(shè)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論