半導體工藝及芯片制造技術問題答案(全).doc_第1頁
半導體工藝及芯片制造技術問題答案(全).doc_第2頁
半導體工藝及芯片制造技術問題答案(全).doc_第3頁
半導體工藝及芯片制造技術問題答案(全).doc_第4頁
半導體工藝及芯片制造技術問題答案(全).doc_第5頁
免費預覽已結束,剩余32頁可下載查看

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、常用術語翻譯active region 有源區(qū)2. active component有源器件 3. Anneal退火4. atmospheric pressure CVD (APCVD) 常壓化學氣相淀積5. BEOL(生產線)后端工序 6. BiCMOS雙極CMOS7.bonding wire 焊線,引線8.BPSG 硼磷硅玻璃9.channel length溝道長度10.chemical vapor deposition (CVD) 化學氣相淀積11.chemical mechanical planarization (CMP)化學機械平坦化12.damascene 大馬士革工藝13.de

2、position淀積 14.diffusion 擴散15.dopant concentration摻雜濃度16.dry oxidation 干法氧化17.epitaxial layer 外延層18.etch rate 刻蝕速率19.fabrication制造20.gate oxide 柵氧化硅21.IC reliability 集成電路可靠性22.interlayer dielectric 層間介質(ILD)23.ion implanter 離子注入機24.magnetron sputtering 磁控濺射25.metalorganic CVD(MOCVD)金屬有機化學氣相淀積26.pc bo

3、ard 印刷電路板27.plasma enhanced CVD(PECVD) 等離子體增強CVD28.polish 拋光29.RF sputtering 射頻濺射30.silicon on insulator絕緣體上硅(SOI)第一章 半導體產業(yè)介紹1. 什么叫集成電路?寫出集成電路發(fā)展的五個時代及晶體管的數(shù)量?(15分)集成電路:將多個電子元件集成在一塊襯底上,完成一定的電路或系統(tǒng)功能。集成電路 芯片/元件數(shù) 產業(yè)周期無集成 1 1960年前 小規(guī)模(SSI) 2到50 20世紀60年代前期 中規(guī)模(MSI) 50到5000 20世紀60年代到70年代前期大規(guī)模(LSI) 5000到10萬

4、20世紀70年代前期到后期 超大規(guī)模(VLSI) 10萬到100萬 20世紀70年代后期到80年代后期甚大規(guī)模(ULSI) 大于100萬 20世紀90年代后期到現(xiàn)在2. 寫出IC 制造的個步驟?(15分) Wafer preparation(硅片準備) Wafer fabrication (硅片制造) Wafer test/sort (硅片測試和揀選) Assembly and packaging (裝配和封裝) Final test(終測)3. 寫出半導體產業(yè)發(fā)展方向?什么是摩爾定律?(15分)發(fā)展方向:提高芯片性能提升速度(關鍵尺寸降低,集成度提高,研發(fā)采用新材料),降低功耗。提高芯片可靠

5、性嚴格控制污染。降低成本線寬降低、晶片直徑增加。摩爾定律指:IC 的集成度將每隔一年翻一番。1975年被修改為: IC 的集成度將每隔一年半翻一番。4. 什么是特征尺寸CD?(10分)最小特征尺寸,稱為關鍵尺寸(Critical Dimension,CD)CD常用于衡量工藝難易的標志。 5. 什么是More moore定律和More than Moore定律?(10分)“More Moore”指的是芯片特征尺寸的不斷縮小。從幾何學角度指的是為了提高密度、性能和可靠性在晶圓水平和垂直方向上的特征尺寸的繼續(xù)縮小。 與此關聯(lián)的3D結構改善等非幾何學工藝技術和新材料的運用來影響晶圓的電性能?!癕ore

6、 Than Moore”指的是用各種方法給最終用戶提供附加價值,不一定要縮小特征尺寸如從系統(tǒng)組件級向3D集成或精確的封裝級(SiP)或芯片級(SoC)轉移。 6. 名詞解釋:high-k; low-k; Fabless; Fablite; IDM; Foundry;Chipless(20分)high-k:高介電常數(shù)。low-k:低介電常數(shù)。Fabless:IC 設計公司,只設計不生產。Fablite:輕晶片廠,有少量晶圓制造廠的IC公司。IDM:集成器件制造商 (IDM-Integrated Device Manufactory Co.),從晶圓之設計、制造到以自有品牌行銷全球皆一手包辦。Fo

7、undry:標準工藝加工廠或稱專業(yè)代工廠商。Chipless:既不生產也不設計芯片,而是設計IP內核,授權給半導體公司使用。7. 例舉出半導體產業(yè)的8種 不同職業(yè) 并簡要描述. (15分)1.硅片制造技師:負責操作硅片制造設備。一些設備維護以及工藝和設備的基本故障查詢。2.設備技師:查詢故障并維護先進設備系統(tǒng),保證在硅片制造過程中設備能正確運行。3.設備工程師:從事確定設備設計參數(shù)和優(yōu)化硅片生產的設備性能。4.工藝工程師:分析制造工藝和設備的性能以確定優(yōu)化參數(shù)設置。5.實驗室技師:從事開發(fā)實驗室工作,建立并進行試驗。6:成品率/失效分析技師:從事與缺陷分析相關的工作,如準備待分析的材料并操作分

8、析設備以確定在硅片制造過程中引起問題的根源。7.成品率提高工程師:收集并分析成品率及測試數(shù)據(jù)以提高硅片制造性能。8.設施工程師:為硅片制造廠的化學材料、凈化空氣及常用設備的基礎設施提供工程設計支持。第二章 半導體材料特性 第五章 半導體制造中的化學品 第六章 硅片制造中的玷污控制1.最通常的半導體材料是什么?該材料使用最普遍的原因是什么?(第二章)(10分)答:最通常的半導體材料是硅。原因:1.硅的豐裕度;2.更高的融化溫度允許更高的工藝容限;3.更寬的工作溫度范圍;4.氧化硅的自然生成.2.砷化鎵相對于硅的優(yōu)點是什么?(第二章)(5分)答:砷化鎵具有比硅更高的電子遷移率,因此多數(shù)載流子也移動

9、得比硅中的更快。砷化鎵也有減小寄生電容和信號損耗的特性。這些特性使得集成電路的速度比由硅制成的電路更快。GaAs器件增進的信號速度允許它們在通信系統(tǒng)中響應高頻微波信號并精確地把它們轉換成電信號。硅基半導體速度太慢以至于不能響應微波頻率。砷化鎵的材料電阻率更大,這使得砷化鎵襯底上制造的半導體器件之間很容易實現(xiàn)隔離,不會產生電學性能的損失。3.描述在硅片廠中使用的去離子水的概念。(第五章)(5分)答:去離子水:在半導體制造過程中廣泛使用的溶劑,在它里面沒有任何導電的離子。DIWater的PH值為7,既不是酸也不是堿,是中性的。它能夠溶解其他物質,包括許多離子化合物和供價化合物。當水分子(H2O)溶

10、解離子化合物時,它們通過克服離子間離子鍵使離子分離,然后包圍離子,最后擴散到液體中。4.例舉出硅片廠中使用的五種通用氣體。(第五章)(5分)答:氧氣(O2)、氬氣(Ar)、氮氣(N2)、氫氣(H2)和氦氣(He)5.對凈化間做一般性描述。(第六章)(10分)答:凈化間是硅片制造設備與外部環(huán)境隔離,免受諸如顆粒、金屬、有機分子和靜電釋放(ESD)的玷污。一般來講,那意味著這些玷污在最先進測試儀器的檢測水平范圍內都檢測不到。凈化間還意味著遵循廣泛的規(guī)程和實踐,以確保用于半導體制造的硅片生產設施免受玷污。6.什么是硅片的自然氧化層?由自然氧化層引起的三種問題是什么?(第六章)(10分)答:自然氧化層

11、:如果曝露于室溫下的空氣或含溶解氧的去離子水中,硅片的表面將被氧化。這一薄氧化層稱為自然氧化層。硅片上最初的自然氧化層生長始于潮濕,當硅片表面暴露在空氣中時,一秒鐘內就有幾十層水分子吸附在硅片上并滲透到硅表面,這引起硅表面甚至在室溫下就發(fā)生氧化。自然氧化層引起的問題是:將妨礙其他工藝步驟,如硅片上單晶薄膜的生長和超薄氧化層的生長。另一個問題在于金屬導體的接觸區(qū),如果有氧化層的存在,將增加接觸電阻,減少甚至可能阻止電流流過。對半導體性能和可靠性有很大的影響7.例舉硅片制造廠房中的7種玷污源。(第六章)(10分)答:硅片制造廠房中的七中沾污源:(1)空氣:凈化級別標定了凈化間的空氣質量級別,它是由

12、凈化室空氣中的顆粒尺寸和密度表征的;(2)人:人是顆粒的產生者,人員持續(xù)不斷的進出凈化間,是凈化間沾污的最大來源;(3)廠房:為了是半導體制造在一個超潔凈的環(huán)境中進行,有必要采用系統(tǒng)方法來控制凈化間區(qū)域的輸入和輸出;(4)水:需要大量高質量、超純去離子水,城市用水含有大量的沾污以致不能用于硅片生產。去離子水是硅片生產中用得最多的化學品(5)工藝用化學品:為了保證成功的器件成品率和性能,半導體工藝所用的液態(tài)化學品必須不含沾污;(6)工藝氣體:氣體流經提純器和氣體過濾器以去除雜質和顆粒;(7)生產設備:用來制造半導體硅片的生產設備是硅片生產中最大的顆粒來源。8.解釋空氣質量凈化級別。(第六章)(5

13、分)答:凈化級別標定了凈化間的空氣質量級別,它是由凈化室空氣中的顆粒尺寸和密度表征的。這一數(shù)字描繪了要怎樣控制顆粒以減少顆粒玷污。凈化級別起源于美國聯(lián)邦標準2009.如果凈化間級別僅用顆粒數(shù)來說明,例如1級凈化間,則只接受1個0.5um的顆粒。這意味著每立方英尺中尺寸等于或大于0.5um的顆粒最多允許一個。9.描述凈化間的舞廳式布局。(第六章)(10分)答:凈化間的舞廳式布局為大的制造間具有10000級的級別,層流工作臺則提供一個100級的生產環(huán)境。10.解釋水的去離子化。在什么電阻率級別下水被認為已經去離子化?(第六章)(10分)答:用以制造去離子水的去離子化過程是指,用特制的離子交換樹脂去

14、除電活性鹽類的離子。18M-cm電阻率級別下水被認為已經去離子化。11.描述RCA清洗工藝。(第六章)(10分)答:工業(yè)標準濕法清洗工藝稱為RCA清洗工藝,由美國無線電公司(RCA)于20世紀60年代提出。RCA濕法清洗由一系列有序的浸入兩種不同的化學溶液組成:1號標準清洗液(SC-1)和2號標準清洗液(SC-2)。SC-1的化學配料為NH4OH/H2O2/H2O這三種化學物按1:1:5到1:2:7的配比混合,它是堿性溶液,能去除顆粒和有機物質,SC-1濕法清洗主要通過氧化顆粒或電學排斥起作用。SC-2的組分是HCL/H2O2/H2O,按1:1:6到1:2:8的配比混合,用于去除硅片表面的金屬

15、。改進后的RCA清洗可在低溫下進行,甚至低到45攝氏度12.例出典型的硅片濕法清洗順序。(第六章)(10分)硅片清洗步驟:(1)H2SO4/H2O2(piranha):有機物和金屬;(2)UPW清洗(超純水):清洗;(3)HF/H2O(稀HF):自然氧化層;(4)UPW清洗:清洗;(5)NH4OH/H2O2/H2O(SC-1):顆粒;(6)UPW清洗:清洗;(7)HF/H2O:自然氧化層;(8)UPW清洗:清洗;(9)HCL/H2O2/H2O(SC-2):金屬;(10)UPW清洗:清洗;(11)HF/H2O:自然氧化層;(12)UPW清洗:清洗;(13)干燥:干燥第三章 器件技術基礎1.按構成

16、集成電路基礎的晶體管分類可以將集成電路分為哪些類型?每種類型各有什么特征?(40分)答:分為三種,雙極集成電路,MOS集成電路,雙極-MOS(BiMOS)集成電路。雙極集成電路:采用的有源器件是雙極晶體管,特點:速度高,驅動能力強,但功耗大,集成能力低。MOS集成電路:采用的有源器件是MOS晶體管,特點:輸入阻抗高,抗干擾能力強,功耗小,集成度高。雙極-MOS(BiMOS)集成電路:同時包含雙極和MOS晶體管,特點:綜合了速度高,驅動能力強,抗干擾能力強,功耗小,集成度高的優(yōu)點,但制造工藝復雜。2. 什么是無源元件?例舉出兩個無源元件的例子。什么是有源元件?例舉出兩個有源元件的例子。(30分)

17、答:無源元件:在不需要外加電源的條件下,就可以顯示其特性的電子元件。這些元件無論如何和電源相連,都可以傳輸電流。如電阻,電容。有源元件:內部有電源存在,不需要能量的來源而實行它特定的功能,而且可以控制電流方向,可放大信號。如二極管,晶體管。3. 什么是CMOS技術?什么是 ASIC?(30分答:CMOS(互補型金屬氧化物半導體)技術:將成對的金屬氧化物半導體場效應晶體管(MOSFET)集成在一塊硅片上。使集成電路有功耗低,工作電壓范圍寬,邏輯擺幅大,使電路抗干擾能力強,隔離柵結構使CMOS器件的輸入電阻極大,從而使CMOS期間驅動同類邏輯門的能力比其他系列強得多。ASIC:(Applicati

18、on Specific Integrated Circuits)專用集成電路,是指應特定用戶要求或特定電子系統(tǒng)的需要而設計、制造的集成電路。優(yōu)點是:體積小,重量輕,功耗低,可靠性好,易于獲得高性能,保密性好,大批量應用時顯著降低成本。第四章 硅和硅片制備1.例舉得到半導體級硅的三個步驟。半導體級硅的純度能達到多少?(50分)第一步:用碳加熱硅石來制備冶金級硅第二步:通過化學反應將冶金級硅提純以生成三氯硅烷第三步:利用西門子方法,通過三氯硅烷和氫氣反應來生產半導體級硅純度能達到99.99999999%2. 將圓柱形的單晶硅錠制備成硅片需要哪些工藝流程?(30分)整形處理,切片,磨片和倒角,刻蝕,

19、拋光,清洗,硅片評估,包裝3.什么是外延層?為什么硅片上要使用外延層?(20分)外延層是指在硅的外延中以硅基片為籽晶生長一薄膜層,新的外延層會復制硅片的晶體結構,并且結構比原硅片更加規(guī)則。外延為器件設計者在優(yōu)化器件性能方面提供了很大的靈活性,例如可以控制外延層摻雜厚度、濃度、輪廓,而這些因素與硅片襯底無關的,這種控制可以通過外延生長過程中的摻雜來實現(xiàn)。外延層還可以減少CMOS器件中的閂鎖效應。第七章 測量學和缺陷檢查 第八章 工藝腔中的氣體控制 第十九章 硅片測試 第二十章 裝配與封裝1.給出半導體質量測量的定義。例出在集成電路制造中12種不同的質量測量(第七章)(10分)半導體質量測量定義了

20、硅片制造的規(guī)范要求,以確保滿足器件的性能和可靠性。 集成電路制造中的12種不同的質量測量:1.膜厚2.方塊電阻3.膜應力4.折射率5.摻雜濃度6.無圖形表面缺陷7.有圖形表面缺陷8.關鍵尺寸9.臺階覆蓋 10.套刻標記11.電容-電壓特性12.接觸的角度2.硅片關鍵尺寸測量的主要工具是什么?(第七章)(5分)硅片關鍵尺寸測量的主要工具是掃描電子顯微鏡(SEM),它能放大10萬到30萬倍,這明顯高于光學顯微鏡,用掃描電子顯微鏡觀測硅片的橫截面部分能提供缺陷的信息,常與其他分析技術結合使用,如EDX或FIB。3.解釋投射電子能顯微鏡。(第七章)(10分)TEM把加速和聚集的電子束投射到非常薄的樣品

21、上,電子與樣品中的電子碰撞而電子與樣品中的原子的碰撞而改變方向,從而產生立體角散射,散射角的大小與樣品的密度、厚度有關,因此可以形成明暗不同的影像。TEM是惟一定量測量硅片上一些非常小特征尺寸的測量工具4.例出并描述4種真空范圍。(第八章)(5分)四種真空范圍:(1)低級真空:氣流主要是由分子間碰撞產生的(也稱滯留),壓強高得足以機械型壓力測量儀測量。(2)中級真空:范圍是1托到10e-3托。(3)高級真空:氣體分子間很少有碰撞。(4)超高級真空:是高級真空的延伸,通過對真空腔的設計和材料的嚴格控制盡量減少不需要的氣體成分。 5.給出使用初級泵和真空泵的理由。(第八章)(5分)答:當真空里的壓

22、強減低時,氣體分子間的空間加大了,這成為氣體流過系統(tǒng)及在工藝腔內產生等離子體的重要因素。而初級泵可以去除腔內99.99%的原始空氣或其他成分,高級真空泵用來獲得壓力范圍10e-3托到10e-9托的高級和超高級真空。6.例舉并描述IC生產過程中的5種不同電學測試。(第十九章)(5分)答:IC生產過程中的5種不同電學測試:(1)IC設計驗證:描述、調試和檢驗新的芯片設計,保證符合規(guī)格要求,是在生產前進行的。(2)在線參數(shù)測試:為了監(jiān)控工藝,在制作過程的早期(前端)進行的產品工藝檢驗測試。在硅片制造過程中進行。(3)硅片揀選測試(探針):產品功能測試,驗證每一個芯片是否符合產品規(guī)格。在硅片制造后進行

23、。(4)可靠性:集成電路加電并在高溫下測試,以發(fā)現(xiàn)早期失效(有時候,也在在線參數(shù)測試中進行硅片級的可靠性測試)。在封裝的IC進行。(5)終測:使用產品規(guī)格進行的產品功能測試。在封裝的IC進行。7.例舉并解釋5個進行在線參數(shù)測試的理由。(第十九章)(5分)答:五個進行在線參數(shù)測試的理由為:(1)鑒別工藝問題:硅片制造過程中工藝問題的早期鑒定(而不是等到已經完成了硅片制造才發(fā)現(xiàn)有問題進行測試。(2)通過/失效標準:依據(jù)通過/失效標準決定硅片是否繼續(xù)后面的制造程序。(3)數(shù)據(jù)收集:為了改進工藝,收集硅片數(shù)據(jù)以評估工藝傾向(如溝道長度的改變)。(4)特殊測試:在需要的時候評估特殊性能參數(shù)(如特殊客戶需

24、求)。(5)硅片級可靠性:需要確定可靠性與工藝條件的聯(lián)系時,進行隨機的硅片級可靠性測試8.什么是IC可靠性?什么是老化測試?(第十九章)(10分)IC可靠性是指器件在其預期壽命內,在其使用環(huán)境中正常工作的概率,換句話說就是集成電路能正常使用多長時間。老化測試在很苛刻的環(huán)境中(如吧溫度提高到85,提高偏置電壓)給芯片加電并測試,使不耐用的器件失效,從而避免它們被交給客戶),這種測試能夠產生更可靠的集成電路,但往往需要長時間的測試,十幾甚至數(shù)百小時,這是一種費錢耗時的工作9.例舉在線參數(shù)測試的4個主要子系統(tǒng)。(第十九章)(5分)在線參數(shù)測試的4個主要子系統(tǒng)為:(1)探針卡接口:是自動測試儀與待測器

25、件之間的接口。(2)硅片定位:為測試硅片,首先要確與探針接觸的硅片的探針儀位置。(3)測試儀器:高級集成電路需要能夠在測試結構上快速、準確、重復地測量亞微安級電流和微法級電容的自動測試設備,它控制測試過程(4)作為網絡主機或客戶機的計算機:指導測試系統(tǒng)操作的計算機包括測試軟件算法、自動測試設備、用于硅片定位的探查控制軟件、測試數(shù)據(jù)的保存和控制、系統(tǒng)校準和故障診斷。10.例舉并描述硅片揀選測試中的三種典型電學測試(第十九章)(5分)硅片揀選測試中的三種典型電學測試:(1)DC測試:第一電學測試是確保探針和壓焊點之間良好電學接觸的連接性檢查。這項檢查保證了技術員的測試儀安裝正常。(2)輸出檢查:硅

26、片挑選測試用來測試輸出信號以檢驗芯片性能。主要驗證輸出顯示的位電平(邏輯“1”或高電平,邏輯“0”或低電平),是否和預期的一致。(3)功能測試:功能測試檢驗芯片是否按照產品數(shù)據(jù)規(guī)范的要求工作。功能測試軟件程序測試芯片的所有方面,它將二進制測試圖形加入被測器件并驗證其輸出的正確性。11.什么是印刷電路板(第二十章)(5分)印刷電路板(PCB)又稱為底板或載體,用焊料將載有芯片的集成電路塊粘貼在板上的電路互連,同時使用連接作為其余產品的電子子系統(tǒng)的接口。12.例舉出傳統(tǒng)裝配的4個步驟。(第二十章)(5分)傳統(tǒng)裝配的4個步驟:1.背面減薄;2.分片;3.裝架;4.引線鍵合13.例舉出兩種最廣泛使用的

27、集成電路封裝材料。(第二十章)(5分)兩種最廣泛使用的集成電路封裝材料是塑料封裝和陶瓷封裝14.例舉并描述6種不同的塑料封裝形式。陶瓷封裝的兩種主要封裝方法是什么?(第二十章)(10分)答:6種不同的塑料封裝形式:(1)雙列直插封裝(DIP):典型有兩列插孔式管腳向下彎,穿過電路板上的孔。(2)單列直插封裝(SIP):是DIP的替代品,用以減小集成電路組件本體所占據(jù)電路板的空間。(3)薄小型封裝(TSOP):廣泛用于存儲器和智能卡具有鷗翼型表面貼裝技術的管腳沿兩邊粘貼在電路板上相應的壓點。(4)西邊形扁平封裝(QFP):是一種在外殼四邊都有高密度分布的管腳表面貼裝組件。(5)具有J性管腳的塑封

28、電極芯片載體(PLCC)(6)無引線芯片載體(LCC):是一種電極被管殼周圍包起來以保持低刨面的封裝形式15例舉出7種先進封裝技術。(第二十章)(10分)7種先進封裝技術包括:(1)倒裝芯片:將芯片的有源面(具有表面鍵合壓點)面向基座的粘貼封裝技術。(2)球柵陣列(BGA):與針柵陣列有相似的封裝設計,有陶瓷或塑料的基座構成基座具有用于連接基座與電路板的共晶Sn/Pb焊料球的面陣列。(3)板上芯片(COB):被開發(fā)以集成電路芯片直接固定到具有其它SMT和PIH組件的基座上,又被稱為直接芯片粘貼。(4)卷帶式自動鍵合(TAB):是一種I/O封裝方式,它使用塑料袋作為新片載體。(5)多芯片模塊(M

29、CM):是一種將幾個芯片固定在同意基座上的封裝形式。(6)芯片尺寸封裝(CSP):一般定義是小于芯片占地面積1.2倍的集成電路封裝形式。(7)圓片級封裝:是第一級互聯(lián)和在劃片前硅片上的封裝I/O端得形成。第九章 集成電路制造工藝概括1例舉出芯片廠中6個不同的生產區(qū)域并對每一個生產區(qū)域做簡單描述。(20分)答:芯片廠中通常分為擴散區(qū)、光刻區(qū)、刻蝕區(qū)、離子注入?yún)^(qū)、薄膜生長區(qū)和拋光區(qū)6個生產區(qū)域:擴散區(qū)是進行高溫工藝及薄膜積淀的區(qū)域,主要設備是高溫爐和濕法清洗設備;光刻區(qū)是芯片制造的心臟區(qū)域,使用黃色熒光管照明,目的是將電路圖形轉移到覆蓋于硅片表面的光刻膠上; 刻蝕工藝是在硅片上沒有光刻膠保護的地方

30、留下永久的圖形;離子注入是用高壓和磁場來控制和加速帶著要摻雜的雜質的氣體;高能雜質離子穿透涂膠硅片的表面,形成目標硅片;薄膜生長主要負責生產各個步驟中的介質層與金屬層的淀積。拋光,即CMP(化學機械平坦化)工藝的目的是使硅片表面平坦化。2離子注入前一般需要先生長氧化層,其目的是什么?(10分)答:氧化層保護表面免污染,免注入損傷,控制注入溫度。3離子注入后為什么要進行退火?(10分)答:推進,激活雜質,修復損傷。4光刻和刻蝕的目的是什么?(20分)答:光刻的目的是將電路圖形轉移到覆蓋于硅片表面的光刻膠上,而刻蝕的目的是在硅片上無光刻膠保護的地方留下永久的圖形。即將圖形轉移到硅片表面。5為什么要

31、采用LDD工藝?它是如何減小溝道漏電流的?(10分)答:溝道長度的縮短增加了源漏穿通的可能性,將引起不需要的漏電流,所以需要采用LDD工藝。輕摻雜漏注入使砷和BF2這些較大質量的摻雜材料使硅片的上表面成為非晶態(tài)。大質量材料和表面非晶態(tài)的結合有助于維持淺結,從而減少源漏間的溝道漏電流效應。6為什么晶體管柵結構的形成是非常關鍵的工藝?更小的柵長會引發(fā)什么問題?(10分)答:因為它包括了最薄的柵氧化層的熱生長以及多晶硅柵的刻印和刻蝕,而后者是整個集成電路工藝中物理尺度最小的結構。多晶硅柵的寬度通常是整個硅片上最關鍵的CD線寬。隨著柵的寬度不斷減少,柵結構(源漏間的硅區(qū)域)下的溝道長度也不斷減少。晶體

32、管中溝道長度的減少增加了源漏間電荷穿通的可能性,并引起了不希望的溝道漏電流。7、描述金屬復合層中用到的材料?(10分)答:采用三明治金屬結構,包括:(1)淀積Ti,使鎢塞和下一層金屬良好鍵合,層間介質良好鍵合;(2)Al,Au合金,加入銅抗電遷移;(3)TiN作為下一次光刻的抗反射層;8、STI隔離技術中,為什么采用干法離子刻蝕形成槽?(10分)答:采用干法刻蝕,是為了保證深寬比。第十章 氧化1 二氧化硅薄膜在集成電路中具有怎樣的應用?(15分)器件保護(避免劃傷和污染),因sio2致密;表面鈍化(飽和懸掛鍵,降低界面態(tài);需一定厚度,降低漏電流等);用作絕緣介質和隔離(LOCOS,STI)如:

33、隔離(如場氧,需要一定的厚度)、絕緣柵(膜厚均勻,無電荷和雜質,需干氧氧化)、多層布線絕緣層、電容介質等;選擇性擴散摻雜的掩膜2.說明水汽氧化的化學反應,水汽氧化與干氧氧化相比速度是快還是慢?為什么?(15分)化學反應:Si+2H2O-SiO2+2H2水汽氧化與干氧氧化相比速度更快,因為水蒸氣比氧氣在二氧化硅中擴散更快、溶解度更高3.描述熱氧化過程。(20分)干氧:SiO2 SiO2氧化速度慢,氧化層干燥、致密,均勻性、重復性好,與光刻膠的粘附性好水汽氧化:Si+H2O SiO2(固)+H2(氣)氧化速度快,氧化層疏松,均勻性差,與光刻膠的粘附性差濕氧:氧氣攜帶水汽,故既有Si與氧氣反應,又有

34、與水汽反應氧化速度、氧化質量介于以上兩種方法之間4.影響氧化速度的因素有哪些?(15分)摻雜物、晶體晶向、壓力、溫度、水蒸氣5. 例舉并描述熱生長SiO2 Si系統(tǒng)中的電荷有哪些?(15分)界面陷阱電荷、可移動氧化物電荷6.立式爐系統(tǒng)的五部分是什么?例舉并簡單描述(20分)工藝腔、硅片傳輸系統(tǒng)、氣體分配系統(tǒng)、尾氣系統(tǒng)、溫控系統(tǒng)工藝腔是對硅片加熱的場所,由垂直的石英罩鐘、多區(qū)加熱電阻絲和加熱管套組成硅片傳輸系統(tǒng)在工藝腔中裝卸硅片,自動機械在片架臺、爐臺、裝片臺、冷卻臺之間移動氣體分配系統(tǒng)通過將正確的氣體通到爐管中來維持爐中氣氛控制系統(tǒng)控制爐子所有操作,如工藝時間和溫度控制、工藝步驟的順序、氣體種

35、類、氣流速率、升降溫速率、裝卸硅片第十一章 淀積1.什么是薄膜?例舉并描述可接受的薄膜的8個特性。(15分)薄膜:指某一維尺寸遠小于另外兩維上的尺寸的固體物質。好的臺階覆蓋能力、高的深寬比填隙能力(3:1)厚度均勻(避免針孔、缺陷)、高純度和高密度、受控的化學劑量結構完整和低應力、好的粘附性(避免分層、開裂致漏電)2.例舉并描述薄膜生長的三個階段。(10分)(1)晶核形成分離的小膜層形成于襯底表面,是薄膜進一步生長的基礎。(2)凝聚成束形成(Si)島,且島不斷長大(3)連續(xù)成膜島束匯合并形成固態(tài)的連續(xù)的薄膜淀積的薄膜可以是單晶(如外延層)、多晶(多晶硅柵)和無定形(隔離介質,金屬膜)的3.什么

36、是多層金屬化?它對芯片加工來說為什么是必需的?(10分)多層金屬化:用來連接硅片上高密度器件的金屬層和絕緣層關鍵層:線條寬度被刻蝕為器件特征尺寸的金屬層。對于ULSI集成電路而言,特征尺寸的范圍在形成柵的多晶硅、柵氧以及距離硅片表面最近的金屬層。介質層層間介質(ILD)ILD1:隔離晶體管和互連金屬層;隔離晶體管和表面雜質。采用低k介質作為層間介質,以減小時間延遲,增加速度。4.例舉淀積的5種主要技術。(10分)a.APCVD(AtmospherePressureChemicalVaporDeposition) b.LPCVDc.等離子體輔助CVD:HDPCVD(High-DensityPla

37、smaCVD)、PECVD(PlasmaenhancedCVD)d.VPE和金屬有機化學氣相淀積電化學淀積(ECD)、化學鍍層物理方法:(1)PVD(2) 蒸發(fā)(含MBE)(3)旋涂(SOG,SOD)5.描述CVD反應中的8個步驟(15分)。1)質量傳輸2)薄膜先驅物反應3)氣體分子擴散4)先驅物吸附5)先驅物擴散進襯底6)表面反應7)副產物解吸8)副產物去除6.例舉高k介質和低k介質在集成電路工藝中的作用。(10分)(1)低k介質須具備低泄漏電流、低吸水性、低應力、高附著力、高硬度、高穩(wěn)定性、好的填隙能力,便于圖形制作和平坦化、耐酸堿以及低接觸電阻。研究較多的幾種無機低介電常數(shù)(二)高k介質

38、應DRAM存儲器高密度儲能的需要,引入了高k介質,在相同電容(或儲能密度)可以增加柵介質的物理厚度,避免薄柵介質隧穿和大的柵漏電流。同時,降低工藝難度。有潛力的高k介質:Ta2O5,(BaSr)TiO3.7、名詞解釋:CVD、LPCVD、PECVD、VPE、BPSG。(將這些名詞翻譯成中文并做出解釋)(10分)(1)CVD、化學氣相淀積(ChemicalVaporDeposition)是指利用熱能、輝光放電等離子體或其它形式的能源,使氣態(tài)物質在固體的熱表面上發(fā)生化學反應并在該表面上淀積,形成穩(wěn)定的固態(tài)物質的工藝過程。(2)低壓CVD(LPCVD)裝片;爐子恒溫并對反應室抽真空到1.3Pa;充N

39、2氣或其它惰性氣體進行吹洗;再抽真空到1.3Pa;完成淀積;關閉所有氣流,反應室重新抽到1.3Pa;回充N2氣到常壓,取出硅片。(3)等離子體增強CVD(PECVD)淀積溫度低,冷壁等離子體反應,產生顆粒少,需要少的清洗空間等等離子體輔助CVD的優(yōu)點。(4)VPE氣相外延:硅片制造中最常用的硅外延方法是氣相外延,屬于CVD范疇。在溫度為800-1150的硅片表面通過含有所需化學物質的氣體化合物,就可以實現(xiàn)氣相外延。(5)BPSG:硼磷硅玻璃(boro-phospho-silicate-glass,BPSG):這是一種摻硼的SiO2玻璃??刹捎肅VD方法(SiH4+O2+PH3+B2H6,400

40、oC450oC)來制備。BPSG與PSG(磷硅玻璃)一樣,在高溫下的流動性較好,廣泛用作為半導體芯片表面平坦性好的層間絕緣膜8、質量輸運限制CVD和反應速度限制CVD工藝的區(qū)別?(10分)1、質量傳輸限制淀積速率淀積速率受反應物傳輸速度限制,即不能提供足夠的反應物到襯底表面,速率對溫度不敏感(如高壓CVD)。2、反應速度限制淀積速率淀積速率受反應速度限制,這是由于反應溫度或壓力過低(傳輸速率快),提供驅動反應的能量不足,反應速率低于反應物傳輸速度??梢酝ㄟ^加溫、加壓提高反應速度。9、采用LPCVD TEOS淀積的是什么膜?這層膜的優(yōu)點是什么?(10分)多晶硅薄膜用TEOS(正硅酸乙酯)-臭氧方

41、法淀積SiO2Si(C2H5O4)8O3SiO210H2O8CO2優(yōu)點:a、低溫淀積;b、高的深寬比填隙能力;c、避免硅片表面和邊角損傷;第十二章 金屬化 第十八章 化學機械平坦化1.解釋下列名詞:互連、接觸、通孔和填充塞(第十二章)(10分)(1)互連:由導電材料,如鋁、多晶硅和銅制成的連線將電信號傳輸?shù)叫酒牟煌糠??;ミB也被用于芯片上器件和器件整個封裝之間的金屬連接。(2)接觸:硅芯片內部的器件與第一金屬層間在硅片表面的連接。(3)通孔:穿過各種介質從某一金屬層到毗鄰金屬層形成電通路的開口。(4)填充薄膜:用金屬薄膜填充通孔以便在兩層金屬間形成電連接2.例舉并描述金屬用于硅片制造的7種要

42、求。(第十二章)(10分)答:金屬用于硅片制造的七個要求:1.導電率:為維持電性能的完整性,必須具有高電導率,能夠傳導高電流密度。2.粘附性:能夠粘附下層襯底,容易與外電路實現(xiàn)電連接。與半導體和金屬表面連接時接觸電阻低。3.淀積:易于淀積并經相對的低溫處理后具有均勻的結構和組分(對于合金)。能夠為大馬士革金屬化工藝淀積具有高深寬比的間隙。4.刻印圖形/平坦化:為刻蝕過程中不刻蝕下層介質的傳統(tǒng)鋁金屬化工藝提供具有高分辨率的光刻圖形;大馬士革金屬化易于平坦化。5.可靠性:為了在處理和應用過程中經受住溫度循環(huán)變化,金屬應相對柔軟且有較好的延展性。6.抗腐蝕性:很好的抗腐蝕性,在層與層之間以及下層器件

43、區(qū)具有最小的化學反應。7.應力:很好的抗機械應力特性以便減少硅片的扭曲和材料失效,比如斷裂、空洞的形成和應力誘導腐蝕。3.解釋鋁已經被選擇作為微芯片互連金屬的原因(第十二章)(10分)答:(1)鋁與P型硅及高濃度N型硅均能形成低歐姆接觸;(2)電阻率低(3)與SiO2粘附性強,無需粘附層-鋁很容易和二氧化硅反應,加熱形成氧化鋁;(4)能單獨作為金屬化布線,工藝簡單;(5)能用電阻絲加熱蒸發(fā),工藝簡單;(6)鋁互連線與內引線鍵合容易;(7)能輕易淀積在硅片上,可用濕法刻蝕而不影響下層薄膜。綜上所述,在硅IC制造業(yè)中,鋁和它的主要過程是兼容的,電阻低,可不加接觸層、粘附層和阻擋層等,工藝簡單,產品

44、價格低廉。4.例舉并討論引入銅金屬化的五大優(yōu)點(第十二章)(10分)1. 答:1.電阻率的減小。在20時,互連金屬線的電阻率從鋁的2.65-減小到銅的1.678-,減少RC延遲,增加芯片速度;2.減少了功耗。減少了線的寬度,降低了功耗;3.更高的集成密度。更窄的線寬,允許更高密度的電路集成,這意味著需要更少的金屬層。4.良好的抗電遷徙性能。銅不需要考慮電遷徙問題。5.更少的工藝步驟。用大馬士革方法處理銅具有減少工藝步驟20%到30%的潛力。5.什么是阻擋層金屬?阻擋層材料的基本特征是什么?哪種金屬常被用作阻擋層金屬?(第十二章)(15分)答:阻擋層金屬是淀積金屬或金屬塞,作用是阻止層上下的材料

45、互相混合??山邮艿淖钃鯇咏饘俚幕咎卣魇牵汉玫淖钃鯏U散特性;高電導率具有很低的歐姆接觸電阻;與半導體和金屬接觸良好;抗電遷移;膜薄和高溫下穩(wěn)定性好;抗腐蝕和氧化。通常用作阻擋層的金屬是一類具有高熔點且被認為是難熔的金屬。在硅片制造業(yè)中,用于多層金屬化的普通難熔金屬有鈦、鎢、鉭、鉬、鈷和鉑。難溶金屬已經被用于硅片制造業(yè),如雙極工藝的肖特基勢壘二極管的形成。鈦鎢和氮化鈦也是兩種普通的阻擋層金屬材料,它們禁止硅襯底和鋁之間的擴散。6.什么是硅化物?難熔金屬硅化物在硅片制造業(yè)中重要的原因是什么?(第十二章)(10分)答:硅化物是難熔金屬與硅反應形成的金屬化合物,是一種具有熱穩(wěn)定性的金屬化合物,并且在硅

46、/難熔金屬的分界面具有低的電阻率。難熔金屬硅化物的優(yōu)點和其作用:1、降低接觸電阻,2、作為金屬與有源層的粘合劑。3、高溫穩(wěn)定性好,抗電遷移性能好4、可直接在多晶硅上淀積難熔金屬,經加溫處理形成硅化物,工藝與現(xiàn)有硅柵工藝兼容。7.描述RF濺射系統(tǒng)。(第十二章)(15分)答:在RF濺射系統(tǒng)中,等離子體是由RF場而非DC場產生的。RF頻率通常為13.56MHz,加在靶電極的背面并通過電容耦合到前面。等離子體中的電子和離子都處在RF場得作用之下,但由于高頻的緣故,電子的響應最強烈。腔體和電極的作用像一個二極管產生大量的電子流,導致負電荷堆積在靶電極上。這些負電荷(自由偏置產生)吸引正的氬離子引起對絕緣

47、或非絕緣靶材料的濺射。硅片能夠被電偏置在與氬離子不同的場勢。加在硅片上的偏置引起氬原子直接轟擊硅片。RF偏置允許露在外面的硅片被刻蝕和清理。實際上,由于RF濺射系統(tǒng)的濺射產額不高,導致它的淀積速率低,因此應用受到限制。有靶發(fā)射的許多二次電子穿過放射區(qū),對等離子體的產生沒有貢獻。如果這些電子被限制與離子碰撞,導致更多的離子產生以轟擊靶,那么它的濺射率將高得多。在硅片制造業(yè)中為克服低效率,并取得高的金屬淀積速率,磁控濺射的概念需要發(fā)展8.例舉雙大馬士革金屬化過程的10個步驟。(第十二章)(10分)答:(1)SiO2淀積:用PECVD淀積內層氧化硅到希望的厚度。(2)SiN刻蝕阻擋層淀積:厚250?

48、的SiN刻蝕阻擋層被淀積在內層氧化硅上。SiN需要致密,沒有針孔,因此使用HDPCVD。(3)確定通孔圖形和刻蝕:光刻確定圖形、干法刻蝕通孔窗口進入SiN中,刻蝕完成后去掉光刻(4)淀積保留介質的SiO2:為保留層間介質,PECVD氧化硅淀積。(5)確定互連圖形:光刻確定氧化硅槽圖形,帶膠。在確定圖形之前將通孔窗口放在槽里。(6)刻蝕互連槽和通孔。(7)淀積阻擋層金屬:在槽和通孔的底部及側壁用離子化的PCVD淀積鉭和氮化鉭擴散層。(8)淀積銅種子層:用CVD淀積連續(xù)的銅種子層,種子層必須是均勻的并且沒有針孔。(9)淀積銅填充:用ECD淀積銅填充,即填充通孔窗口也填充槽。(10)用CMP清除額外

49、的銅:用化學機械平坦清除額外的銅。9描述化學機械平坦化工藝。(第十八章)(10分)CMP:通過比去除低處圖形更快的速率去除高處圖形以獲得均勻表面,是一種化學和機械作用結合的平坦化過程。它通過硅片和一個跑光頭之間的相對運動來平坦化硅片表面,在硅片和拋光頭之間有磨料,并同時施加壓力。CMP設備也常稱為拋光機。在一臺拋光機中,硅片放在一個硅片固定器或載片頭上,并面向轉盤上的拋光墊。硅片和拋光墊之間的相對運動由設備制造商進行不同的控制。大部分拋光機都采用旋轉運動或軌道運動第十三章、十四章、十五章 光刻1.解釋正性光刻和負性光刻的區(qū)別?(第十三章)為什么正膠是普遍使用的光刻膠?最常用的正膠是指哪些膠?(

50、第十五章)(10分)正性光刻把與掩膜版上相同的圖形復制到硅片上,負性光刻把與掩膜版上圖形相反的圖形復制到硅片表面,這兩種基本工藝的主要區(qū)別在于所用的光刻膠的種類不同。正刻膠在進行曝光后留下來的的光刻膠在曝光前已被硬化,它將留在硅片表面,作為后步工藝的保護層,不需要改變掩膜版的極性,并且負性光刻膠在顯影時會變形和膨脹,所以正膠是普遍使用的光刻膠傳統(tǒng)的I線光刻膠,深紫外光刻膠2.解釋什么是暗場掩模板。(第十三章)(5分)暗場掩膜版是指一個掩膜版,它的石英版上大部分被鉻覆蓋,并且不透光3.例出光刻的8個步驟,并對每一步做出簡要解釋。(第十三章)(15分)第一步:氣相成底膜處理,其目的是增強硅片和光刻

51、膠之間的粘附性。第二步:旋轉涂膠,將硅片被固定在載片臺上,一定數(shù)量的液體光刻膠滴在硅片上,然后硅片旋轉得到一層均勻的光刻膠圖層第三步:軟烘,去除光刻膠中的溶劑第四步:對準和曝光,把掩膜版圖形轉移到涂膠的硅片上第五步:曝光后烘培,將光刻膠在100到110的熱板上進行曝光后烘培第六步:顯影,在硅片表面光刻膠中產生圖形第七步:堅膜烘培,揮發(fā)掉存留的光刻膠溶劑,提高光刻膠對硅片表面的粘附性第八步:顯影后檢查,檢查光刻膠圖形的質量,找出有質量問題的硅片,描述光刻膠工藝性能以滿足規(guī)范要求4.在硅片制造中光刻膠的兩種目的是什么?(第十三章)(5分)一,將掩膜版圖案轉移到硅片表面頂層的光刻膠中二,在后續(xù)工藝中

52、,保護下面的材料5.例舉并描出旋轉涂膠的4個基本步驟(第十三章)(5分)1,分滴,當硅片靜止或者旋轉得非常緩慢時,光刻膠被分滴在硅片上2,旋轉鋪開,快速加速硅片的旋轉到一高的轉速使光刻膠伸展到整個硅片表面3,旋轉甩掉,甩去多余的光刻膠,在硅片上得到均勻的光刻膠膠膜覆蓋層4,溶劑揮發(fā),以固定轉速繼續(xù)旋轉已涂膠的硅片,直至溶劑揮發(fā),光刻膠膠膜幾乎干燥6.描述曝光波長和圖像分辨率之間的關系(第十四章)(5分)減少曝光光源的波長對提高分辨率非常重要,波長的越小圖像的分辨率就越高圖像就越精確7.例舉并描述光刻中使用的兩種曝光光源(第十四章)(5分)汞燈,高壓汞燈,電流通過裝有氙汞氣體的管子產生電弧放電,

53、這個電弧發(fā)射出一個特征光譜,包括240納米到500納米之間有用的紫外輻射準分子激光,準分子是不穩(wěn)定分子是有惰性氣體原子和鹵素構成只存在與準穩(wěn)定激發(fā)態(tài)8.光學光刻中影響圖像質量的兩個重要參數(shù)是什么?(第十四章)(5分)4. 分辨率和焦深 9.解釋掃描投影光刻機是怎樣工作的?掃描投影光刻機努力解決什么問題?(第十四章)(10分)掃描投影光刻機的概念是利用反射鏡系統(tǒng)把有1:1圖像的整個掩膜圖形投影到硅片表面,其原理是,紫外光線通過一個狹縫聚焦在硅片上,能夠獲得均勻的光源,掩膜版和帶膠硅片被放置在掃描架上,并且一致的通過窄紫外光束對硅片上的光刻膠曝光由于發(fā)生掃描運動,掩膜版圖像最終被光刻在硅片表面。掃

54、描光刻機主要挑戰(zhàn)是制造良好的包括硅片上所有芯片的一倍掩膜版10.光刻中采用步進掃描技術獲得了什么好處?(第十四章)(5分)增大了曝光場,可以獲得較大的芯片尺寸,一次曝光可以多曝光些芯片,它還具有在整個掃描過程調節(jié)聚焦的能力11.給出投影掩模板的定義。投影掩模板和光掩模板的區(qū)別是什么?(第十四章)(10分)投影掩膜版是一種透明的平板,在它上面有要轉印到硅片上光刻膠層的圖形。投影掩膜版只包括硅片上一部分圖形,而光掩膜版包含了整個硅片的芯片陣列并且通過單一曝光轉印圖形12.解釋光刻膠顯影。光刻膠顯影的目的是什么?(第十五章)(5分)光刻膠顯影是指用化學顯影液溶解由曝光造成的光刻膠的可溶解區(qū)域,其主要

55、目的是把掩膜版圖形準確復制到光刻膠中13.解釋光刻膠選擇比。要求的比例是高還是低?(第十五章)(5分)光刻膠選擇比是指顯影液與曝光的光刻膠反應的速度快慢,選擇比越高,反應速度越快,所以要比例高14.例舉出兩種光刻膠顯影方法。例舉出7種光刻膠顯影參數(shù)。(第十五章)(10分)連續(xù)噴霧顯影,旋覆浸沒顯影顯影溫度,顯影時間,顯影液量,硅片洗盤,當量濃度,清洗,排風第十六章 刻蝕1.刻蝕工藝有哪兩種類型?簡單描述各類刻蝕工藝(10分)刻蝕工藝:干法刻蝕和濕法刻蝕。干法刻蝕是把硅片表面曝露于氣態(tài)中產生的等離子體,等離子體通過光刻膠中開出的窗口,與硅片發(fā)生物理或化學反應(或這兩種反應),從而去掉曝露的表面材料,一般用于亞微米尺寸。濕法刻蝕中,液體化學試劑(如酸、堿和溶劑等)以化學方式去除硅片表面的材料,一般用于尺寸較大的情況下(大于3微米)。2.定義刻蝕速率并描述它的計算公式。為什么希望有高的刻蝕速率?(10分)刻蝕速率=T/t(A/min)T=去掉材料的厚度t=刻蝕所用的時間高的刻蝕速率,可以通過精確控制刻蝕時間來控制刻蝕的厚度。3.定義刻蝕選擇比。干法刻蝕的選擇比是高還是低?高選擇比意味著什么?(10分)刻蝕選擇比SR=EF/ErEF=被刻蝕材料的速率Er=掩蔽層材的刻蝕速率干法刻蝕的選擇比

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論