基于51單片機(jī)的圖形液晶顯示設(shè)計_第1頁
基于51單片機(jī)的圖形液晶顯示設(shè)計_第2頁
基于51單片機(jī)的圖形液晶顯示設(shè)計_第3頁
基于51單片機(jī)的圖形液晶顯示設(shè)計_第4頁
基于51單片機(jī)的圖形液晶顯示設(shè)計_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、實驗三 基于51單片機(jī)的圖形液晶顯示設(shè)計一、實驗任務(wù)要求在液晶顯示屏上顯示自已的姓名和學(xué)號,姓名用16*16點陣顯示,學(xué)號用8*16點陣顯示。二、實驗原理1、 單片機(jī)選用atmel公司的at89s52,液晶模塊選用lcd12864,其控制器是不帶字庫的ks0108作為列驅(qū)動,行驅(qū)動是ks0107. 控制器的工作原來及顯示控制指令集見附錄(北京集粉碎電子設(shè)備制造有限公司)的有關(guān)控制器的資料。2、 取字模軟件同學(xué)可以用網(wǎng)上下載一個。3、 液晶顯示硬件電路(需要控制的有關(guān)管腳),其他的省略。lcd屏的第4腳di為命令數(shù)據(jù)選擇,接單片機(jī)的p3.3,當(dāng)di=1時,為數(shù)據(jù);di=0時,為指令;lcd屏的第

2、5腳rw為讀寫控制,接單片機(jī)的p3.3,當(dāng)rw=1時,為讀數(shù)據(jù)或指令;rw=0時,寫數(shù)據(jù)或指令;lcd屏的第6腳e為使能控制,接單片機(jī)的p3.2,當(dāng)e由高到低變化時,數(shù)據(jù)有效;lcd屏的第15腳cs1為左半屏片選信號,接單片機(jī)的p3.0,當(dāng)cs1=0時,選通左半屏;lcd屏的第16腳cs2為右半屏片選信號,接單片機(jī)的p3.1,當(dāng)cs2=0時,選通右半屏。三、實驗報告要求(一)ks0108詳細(xì)的控制指令說明1、ks0108 控制器系列產(chǎn)品主要特性(1) 8位并行數(shù)據(jù)接口,適配m6800 系列時序。(2) 擁有 6464 位(512 字節(jié))的顯示存儲器,其數(shù)據(jù)直接作為顯示驅(qū)動信號。(3) 簡單的操

3、作指令。(4) 低功耗(具體參數(shù)見各款產(chǎn)品外形文件)2、接口順序12832-112832-312864-112864-312864-712864-1612864-1812864-18a1ledkv0gnd/cs1vccgndvccledk2ledavccvcc/cs2gndvccgndleda3v0gndv0gndv0v0v0vout4vccersvccrsrsdb0/rst5gndrsr/wv0r/wr/wdb1/cs26er/werseedb2/cs17rs/rstdb0r/wdb0db0db3db78r/wcsdb1edb1db1db4db69csdb7db2db0db2db2db5db

4、510/rstdb6db3db1db3db3db6db411db0db5db4db2db4db4db7db312db1db4db5db3db5db5/cs1db213db2db3db6db4db6db6/cs2db114db3db2db7db5db7db7/rstdb015db4db1cs1db6/cs1cs1r/we16db5db0cs2db7/cs2cs2rsr/w17db6ledk/rst/rst/rst/rsters18db7ledavoutvoutvoutvoutvoutv019ledaledaledael/blaledkvcc20ledkledkledknc/blkledagnd表

5、1 各種接口的接口順序由表1給出的各種接口的接口順序,選用12864-3的型號即proteus的型號選擇如圖1所示,按照所選型號連接好電路圖,如圖8所示。圖1 proteus的lcd型號選擇3、產(chǎn)品外形按照所選用的12864-3型號,其產(chǎn)品外形如圖2所示。圖2 12864-3型號產(chǎn)品外形圖12864-3 產(chǎn)品有12864-3c 和12864-3d 兩個升級型號,其中12864-3c 是片選高電平有效,12864-3d是片選低電平有效??膳渲脺匮a(bǔ)電路。4、 原理簡圖按照所選用的12864-3型號,其原理簡圖如圖3所示。圖3 12864點陣模塊原理框圖5、 接口說明名稱型態(tài)電平功能描述fg鐵框地g

6、nd電源地vcc輸入電源輸入(+5v)v0輸入lcd 驅(qū)動電壓輸入端(對比度調(diào)節(jié))r/w輸入h/l讀/寫信號cs輸入h/l12832 類產(chǎn)品片選 h:芯片1 l:芯片2cs1輸入h/l片選信號,一般低有效,部分產(chǎn)品高電平有效,具體見各產(chǎn)品外形文件cs2rs輸入h/l寄存器選擇端 h:數(shù)據(jù)寄存器;l:命令寄存器/rst輸入h/l復(fù)位信號db0db7輸入/輸出h/l數(shù)據(jù)總線vee輸入負(fù)壓輸入輸出端leda輸入背光正極ledk背光負(fù)極* vout 或名vee,意義相同表2 接口說明6、引腳功能d0-d7三態(tài)數(shù)據(jù)總線r/w輸入r/w=1,mcu 對ks0108 的讀操作信號r/w=0,mcu 對ks0

7、108 的寫操作信號cs1cs2cs3輸入片選信號。僅當(dāng) cs1=cs2=0 且cs3=1 時,ks0108才選通rs輸入通道選擇信號,c/d=1 數(shù)據(jù)通道,c/d=0 指令通道e輸入使能信號rst輸入復(fù)位信號,低有效。復(fù)位時,ks0108 將顯示開關(guān)和顯示起始行寄存器清零。表3 引腳功能7、指令列表表4 指令列表8、指令功能詳解下面是 ks0108 指令寫入的流程圖:圖4 指令寫入的流程圖(1)讀狀態(tài)字 read status格式:rs=0,r/w=1busy0on/offreset00001) busy=1 表示ks0108 正在處理計算機(jī)發(fā)來的指令或數(shù)據(jù)。此時接口電路被封鎖,不能接受除讀

8、狀態(tài)字以外的任何操作。busy=0 表示ks0108 接口控制電路已處于“準(zhǔn)備好”狀態(tài),等待計算機(jī)的訪問。2) on/off:表示當(dāng)前的顯示狀態(tài)。on/off=1 表示關(guān)顯示狀態(tài)on/off=0 表示開顯示狀態(tài)。3) reset 表示當(dāng)前ks0108 的工作狀態(tài),即反映rst 端的電平狀態(tài)。當(dāng) rst 為低電平狀態(tài)時ks0108 處于復(fù)位工作狀態(tài),reset=1。當(dāng) rst 為高電平狀態(tài)時,ks0108 為正常工作狀態(tài),reset=0。4) 在占領(lǐng)設(shè)置和數(shù)據(jù)讀寫時要注意狀態(tài)字中的 busy 標(biāo)志。只有在busy=0 時,計算機(jī)對 ks0108 的操作才能有效。因此計算機(jī)在每次對ks0108 操

9、作之前,都要讀出狀態(tài)字判斷busy 是否為“0”。若不為“0”,則計算機(jī)需要等待,直busy=0 為止。(2)顯示開關(guān) display on/off格式:rs=0,r/w=00011111d該指令設(shè)置顯示開關(guān)/觸發(fā)器的狀態(tài),由此控制顯示數(shù)據(jù)鎖存器的工作方式,從而控制顯示上的顯示狀態(tài)。d位為顯示開/關(guān)的控制位。當(dāng)d=1為顯示設(shè)置,顯示數(shù)據(jù)鎖存器正常工作,顯示屏上呈現(xiàn)所須的效果。此時在狀態(tài)字中on/off=0。當(dāng)d=0為關(guān)顯示設(shè)置,顯示數(shù)據(jù)鎖存器被置零,顯示屏呈不顯示狀態(tài),但顯示存儲器并沒有被破壞,在狀態(tài)組中on/off=1。(3)顯示起始行設(shè)置 display start line 格式:rs=

10、0,r/w=011l5l4l3l2l1l0該指令設(shè)置了顯示起始行寄存器的內(nèi)容。ks0108 有64 行顯示的管理能力,該指令中l(wèi)5l0 為顯示起始行的地址,取值在03fh(164)范圍內(nèi),它規(guī)定了顯示屏上最頂一行所對應(yīng)的顯示存儲器的行地址。如果定時間隔地,等間距地修改(如加一或減一)顯示起始行寄存器的內(nèi)容,則顯示屏將呈現(xiàn)顯示內(nèi)容向上或向下平滑滾動的顯示效果。(4)頁面地址設(shè)置 set page(x address)格式:rs=0,r/w=010111p2p1p0該指令設(shè)置了頁面地址-x 地址寄存器的內(nèi)容。ks0108 將顯示存儲器分成了8 頁,指令代碼中p2p0 就是要確定當(dāng)前所要選擇的頁面地

11、址,取值范圍為07h,代表第18頁。該指令規(guī)定了以后的讀/寫操作將在哪一個頁面上進(jìn)行。(5)列地址設(shè)置 set y address格式:rs=0,r/w=001c5c4c3c2c1c0該指令設(shè)置了y 地址計數(shù)器的內(nèi)容,c5c0=03fh(164)代表某一頁面上的某一單元地址,隨后的一次讀或?qū)憯?shù)據(jù)將在這個單元上進(jìn)行。y 地址計數(shù)器具有自動加一功能,在每一次讀/寫數(shù)據(jù)后它將自動加一,所以在連續(xù)進(jìn)行讀/寫數(shù)據(jù)時,y 地址計數(shù)器不必每次都設(shè)置一次。頁面地址的設(shè)置和列地址的設(shè)置將顯示存儲器單元唯一地確定下來,為后來的顯示數(shù)據(jù)的讀/寫作了地址的選通。(6)寫顯示數(shù)據(jù) write display data格

12、式數(shù)據(jù):rs=1,r/w=0數(shù)據(jù)該操作將8位數(shù)據(jù)寫入先前已確定的顯示存儲器的單元內(nèi),操作完成后列地址計數(shù)器自動加一。(7)讀顯示數(shù)據(jù) read display data格式數(shù)據(jù):rs=1,r/w=1數(shù)據(jù)該操作將 ks0108 接口部的輸出寄存器內(nèi)容讀出,然后列地址計數(shù)器自動加一。(二)取字模的方法使用取模軟件pctolcd對所需的字進(jìn)行取模,對取模方式進(jìn)行選擇,選擇逐列式,如圖5所示,再點擊生成字模,將需要的字模生成出來。如圖6所示。圖5 取模方式選擇圖6 生成字模取出的字模如圖7所示:圖7 取出的字模按照同樣的方法,將“班級:電子信息091;姓名:黃慧娟;學(xué)號208090508”的所有字模都

13、取出來。(具體字模見代碼)3、 詳細(xì)的程序代碼以及說明#include#include#include#define uchar unsigned char#define uint unsigned int#define lcd_date p0/*lcd端口定義*/sbit cs1=p23;sbit cs2=p24;sbit en=p22;sbit rw=p21;sbit rs=p20;uchar x=0xb8;uchar y=0x40;code uchar dis=0x84,0x10,0x84,0x30,0xfc,0x1f,0x84,0x08,0x84,0x88,0x00,0x42,0xf8

14、,0x21,0x00,0x18,0xff,0x07,0x00,0x00,0x84,0x20,0x84,0x20,0xfc,0x3f,0x84,0x20,0x84,0x20,0x00,0x00,/*班,0*/0x20,0x22,0x30,0x67,0xac,0x22,0x63,0x12,0x30,0x12,0x00,0x40,0x02,0x30,0x02,0x8f,0xfe,0x80,0x02,0x43,0x02,0x2c,0x62,0x10,0x5a,0x2c,0xc6,0x43,0x00,0x80,0x00,0x00,/*級,1*/0x00,0x00,0x00,0x00,0x00,0x00,

15、0xc0,0x30,0xc0,0x30,0x00,0x00,0x00,0x00,0x00,0x00,/*:,2*/0x00,0x00,0x00,0x00,0xf8,0x1f,0x88,0x08,0x88,0x08,0x88,0x08,0x88,0x08,0xff,0x7f,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0x88,0xf8,0x9f,0x00,0x80,0x00,0xf0,0x00,0x00,/*電,3*/0x80,0x00,0x82,0x00,0x82,0x00,0x82,0x00,0x82,0x00,0x82,0x40,0x82,0x80,0xe2,0

16、x7f,0xa2,0x00,0x92,0x00,0x8a,0x00,0x86,0x00,0x82,0x00,0x80,0x00,0x80,0x00,0x00,0x00,/*子,4*/0x00,0x01,0x80,0x00,0x60,0x00,0xf8,0xff,0x07,0x00,0x00,0x00,0x04,0x00,0x24,0xf9,0x24,0x49,0x25,0x49,0x26,0x49,0x24,0x49,0x24,0x49,0x24,0xf9,0x04,0x00,0x00,0x00,/*信,5*/0x00,0x40,0x00,0x30,0x00,0x00,0xfc,0x03,0x

17、54,0x39,0x54,0x41,0x56,0x41,0x55,0x45,0x54,0x59,0x54,0x41,0x54,0x41,0xfc,0x73,0x00,0x00,0x00,0x08,0x00,0x30,0x00,0x00,/*息,6*/0x00,0x00,0xe0,0x0f,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xe0,0x0f,0x00,0x00,/*0,7*/0x00,0x00,0xe0,0x00,0x10,0x31,0x08,0x22,0x08,0x22,0x10,0x11,0xe0,0x0f,0x00,0x00,/*9,8*/0

18、x00,0x00,0x10,0x20,0x10,0x20,0xf8,0x3f,0x00,0x20,0x00,0x20,0x00,0x00,0x00,0x00,/*1,9*/0x10,0x40,0x90,0x21,0x7f,0x1a,0x12,0x04,0x10,0x0b,0xf0,0x30,0x40,0x40,0x3c,0x42,0x10,0x42,0x10,0x42,0xff,0x7f,0x10,0x42,0x10,0x42,0x10,0x42,0x10,0x40,0x00,0x00,/*姓,1*/0x00,0x04,0x40,0x04,0x20,0x04,0x10,0x02,0x08,0x

19、7e,0x27,0x23,0x44,0x23,0x84,0x22,0x44,0x22,0x24,0x22,0x14,0x22,0x0c,0x22,0x04,0x7e,0x00,0x00,0x00,0x00,0x00,0x00,/*名,4*/0x00,0x00,0x00,0x00,0x00,0x36,0x00,0x36,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*:,6*/0x00,0x00,0x0

20、0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,7*/0x20,0x00,0x24,0x80,0x24,0x80,0xa4,0x5f,0xa4,0x32,0xbf,0x12,0xa4,0x12,0xe4,0x1f,0xa4,0x12,0xbf,0x12,0xa4,0x32,0xa4,0x5f,0x24,0xc0,0x24,0x00,0x20,0x00,0x00,0x00,/*黃,9*/0x00,0x00,0x2a,0x40,0x2a,0x30,0xaa,0x0a,0xff,0x0a,0xaa,0x3a

21、,0xaa,0x4a,0x80,0x4a,0xaa,0x5a,0xaa,0x4a,0xff,0x4a,0xaa,0x6a,0xaa,0x0f,0x2a,0x10,0x00,0x60,0x00,0x00,/*慧,11*/0x10,0x40,0x10,0x23,0xf0,0x1a,0x1f,0x04,0x10,0x0b,0xf0,0x30,0x00,0x00,0xde,0xff,0x52,0x09,0x52,0x09,0x52,0x09,0x52,0x49,0x52,0x89,0xde,0x7f,0x00,0x00,0x00,0x00,/*娟,13*/0x00,0x00,0x00,0x00,0x0

22、0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,14*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,15*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,16*/0x40,0x00,0x30,0x02,0x10,0x02,0x12,0x02,0x5c,0x02,0x54,0x02

23、,0x50,0x42,0x51,0x82,0x5e,0x7f,0xd4,0x02,0x50,0x02,0x18,0x02,0x57,0x02,0x32,0x02,0x10,0x02,0x00,0x00,/*學(xué),2*/0x40,0x00,0x40,0x00,0x40,0x00,0x5f,0x02,0xd1,0x07,0x51,0x02,0x51,0x02,0x51,0x22,0x51,0x42,0x51,0x82,0x51,0x42,0x5f,0x3e,0x40,0x00,0x40,0x00,0x40,0x00,0x00,0x00,/*號,4*/0x00,0x00,0x00,0x00,0x00,

24、0x36,0x00,0x36,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/*:,6*/0x00,0x00,0x70,0x30,0x08,0x28,0x08,0x24,0x08,0x22,0x88,0x21,0x70,0x30,0x00,0x00,/* 2,7*/0x00,0x00,0xe0,0x0f,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xe0,0x0f,

25、0x00,0x00,/* 0,8*/0x00,0x00,0x70,0x1c,0x88,0x22,0x08,0x21,0x08,0x21,0x88,0x22,0x70,0x1c,0x00,0x00,/* 8,9*/0x00,0x00,0xe0,0x0f,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xe0,0x0f,0x00,0x00,/* 0,10*/0x00,0x00,0xe0,0x00,0x10,0x31,0x08,0x22,0x08,0x22,0x10,0x11,0xe0,0x0f,0x00,0x00,/* 9,11*/0x00,0x00,0xe0,0

26、x0f,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xe0,0x0f,0x00,0x00,/* 0,12*/0x00,0x00,0xf8,0x19,0x08,0x21,0x88,0x20,0x88,0x20,0x08,0x11,0x08,0x0e,0x00,0x00,/* 5,13*/0x00,0x00,0xe0,0x0f,0x10,0x10,0x08,0x20,0x08,0x20,0x10,0x10,0xe0,0x0f,0x00,0x00,/* 0,14*/0x00,0x00,0x70,0x1c,0x88,0x22,0x08,0x21,0x08,0x21

27、,0x88,0x22,0x70,0x1c,0x00,0x00,/* 8,15*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,16*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,1*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,2

28、*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,3*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,4*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,5*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x

29、00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,6*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,7*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,8*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,9*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,10*/0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,/* ,11*/0x00,0x00,0x00

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論